• 통큰쿠폰이벤트-통합
  • 통합검색(51)
  • 리포트(51)

"fpga up down" 검색결과 1-20 / 51건

  • FPGA 보드를 이용한 Baseball, Up&Down게임
    응용논리회로(Term Project)1.주제 : FPGA 보드를 이용한 Baseball, Up&Down게임2.Baseball 게임이란? : Baseball게임이란 상대방이 생각 ... 다.Up&Down 게임이란? : Up&Down게임은 상대방이 생각한 숫자를 맞춘다는 점에서 Baseball 게임과 같다. 그러나 게임방식은 다르다. Up&Down은 내가 숫자를 말 ... 하면 상대방이 생각한 숫자보다 내 숫자가 클 경우는 Down, 반대의 경우엔 Up을 말하여 숫자를 맞춰가는 게임이다.3.State Machine Diagram우선 Reset을 통해
    리포트 | 26페이지 | 3,000원 | 등록일 2013.10.01
  • FPGA VHDL up & down counter (업다운카운터)
    Up & Down Counter입 력SW_1 = 숫자가 1씩 증가SW_2 = 숫자가 1씩 감소SW_4 = Clock 신호SW_F = Reset 신호출 력7 - segment ... isport (reset, clk : in bit;up, down : std_ulogic;-- 아래의 updown 변수에 넣기 위해 in bit가 아닌 std_ulogic으로 선언seg ... );variable updown : std_logic_vector(1 downto 0);beginupdown(1) := up; -- updown을 개별로 경우의 수를 따지
    리포트 | 3페이지 | 1,000원 | 등록일 2013.06.23
  • 인하대 fpga 4주차 latch, FF, counter보고서
    FPGA 4주차 보고서Gated D latchD_ Flip-flopRTL MAPtest_bench4bit-up counterRTL MAPtest bench4bit down c
    리포트 | 14페이지 | 3,000원 | 등록일 2020.07.07
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 설계계획서
    를 이용한 Up-Down Counter, Timer 설계1) 설계목표1-1. FPGA를 이용하여 00000~99999 카운터를 설계한다.(버튼을 누르면 00000을 나타내 ... 한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명:조교명:분 반:학 번:성 명:전자공학부FPGA ... 는 디스플레이가 증가하고, 다시 누르면 정지한다.)1-2. FPGA를 이용하여 5분 타이머를 설계한다.(버튼을 누르면 0분 0초를 나타내는 0:00:00 디스플레이가 증가하고, 다시 누르
    리포트 | 3페이지 | 1,500원 | 등록일 2021.10.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    되는 것을 확인할 수 있다.- [실습 6]은 4-bit up-down counter 로직으로, up/down mode, reset, data load의 기능을 포함하며, [실습 5 ... ] 4-bit up counter의 출력 값을 single FND에 표시하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과 설계한 4-bit up c ... 설계서 up counter로 동작한다. 장비 동작 확인 과정에서 single FND에 0~15까지(10~15는 각각 A, b, c, d, E, F로 표시) 차례로 count되는 up
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 결과 보고서
    로 inverter를 만들 수 있었고 pull up 저항과 pull down 저항을 알 수 있는 기회가 되었다. 실험 1-F의 경우 소자에 전류가 흐르면 정상작동하지 않으므로 올바른 ... 의 동작원리를 확인한다.2. 주어진 진리표를 논리식으로 최적화 한다.3. 논리식을 TTL로 구현하여 그 동작을 확인한다.4. Xilinx ISE로 설계된 회로를 FPGA로 구현하고 그 ... 가 floating 상태가 되어 트랜지스터 위의 pull up 저항에 의해 High가 될 것이라 예상하였지만 그 반대였다. 하나를 제거했을 때와 둘 다 제거했을 때 모두 High가 나타나는 것
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [기초전자회로실험2] FPGA Board를 이용한 FSM 회로의 구현 예비보고서
    1Preliminary report Electronic Engineering기초전자회로실험FPGA Board를 이용한 FSM 회로의 구현자료는 실제 실험을 바탕으로 작성 ... 되었으며,보고서 평가 A+기초전자회로실험 과목 A+받은 자료입니다.본 문서는 나눔글꼴 기준으로 되어있습니다. (네이버 나눔글꼴)1. 실험제목FPGA Board를 이용한 FSM 회로의 구현2 ... . 실험목적① Hardware Description Language(HDL)을 이해하고 그 사용방법을 익힌다.② Field Programmable Gate Array(FPGA
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 전전컴설계실험2-9주차예비
    을 확인하기 위해 IMPACT를 실행한다.10. Initialize Chain을 사용해 JTAG으로 연결된 디바이스를 검색한다.11. 검색된 FPGA 모듈에 8-bit up/down c ... .Introduction.(1)Purpose of this Lab이번 실험은 순차 논리 회로에 대해 기본 개념을 이해하고 4-bit up counter, 8-bit up down counter ... )Hypothesis of this Lab & Basis of the assumptionCounter에서 Up이 되면 출력값 Out은 0-1-2-3-4... 증가하고 Down
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-9주차결과
    를 검색한다.11. 검색된 FPGA 모듈에 8-bit up/down counter Logic이 설계된 bit 파일을 프로그래밍한다.12. 프로그래밍이 성공하면 장비의 작동을 확인 ... 은 순차 논리 회로에 대해 기본 개념을 이해하고 4-bit up counter, 8-bit up down counter, 응용과제의 Moore State machine을 구현 ... 된 디바이스를 검색한다.11. 검색된 FPGA 모듈에 4-bit up counter Logic이 설계된 bit 파일을 프로그래밍한다.12. 프로그래밍이 성공하면 장비의 작동을 확인
    리포트 | 18페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 실험2 제09주 Lab07 Pre FSM
    -bit Up/Down Counter3) Lab 3 of Moore Machine4. Summarize5. Reference1. Introduction1) Purpose of ... ⦁ Up/Down Counter일반적으로 Up/Down Counter는 Sequential Circuit의 대표적인 예이다. 회로를 보면 Positive Clock Edge일 때 ... , Up bit가 1이면 Count를 시작하여 Output이 1씩 증가하고 Up bit가 0이면 Down Count를 시작하여 Output이 1씩 감소하는 회로이다.⦁ FSM외부 입력
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • FPGA를 이용한 디지털 시스템 설계(인하대) Counter 카운터 보고서
    할 수 있다.주파수 분주기, 타이밍 제어신호 생성회로 등에 사용된다.3. 실험과정 및 소스코드.이번 실험에서는 4bit Up Counter와 Down Counter를 설계하고, 4 ... bit 74163 Counter를 이용하여 8bit 74163 Counter를 설계한다.@1. 4bit Up Counter 코딩카운터는 수를 세는 순서에 따라 Up카운터와 Down ... 카운터로 나눌 수 있다.입력신호가 들어왔을 때, 수를 증가시키며 동작하는 카운터를 Up카운터라 하고, 수를 감소시키며 동작하는 카운터를 Down카운터라 한다.4bit Up
    리포트 | 16페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 결과보고서>
    )1000 (8)1001 (9)1010 (10)1011 (11)1100 (12)1101 (13)1110 (14)1111 (15)[그림 4] 동기식 UP/DOWN 카운터 FPGA ... FPGA 결과토의이번 실험은 6주차 실험 결과를 이용해 Up/Down 카운터를 설계하고 이를 응용하는 회로를 설계해 보는 실험이었다. Up/Down 카운터는 JK 플립플롭 4개를 이용 ... Sequential Circuit 설계 및 구현실험목표① 동기식 UP/DOWN 카운터를 설계한다.② 카운터를 이용한 Sequential Circuit을 설계한다.실험결과1. 동기식 UP
    리포트 | 8페이지 | 1,500원 | 등록일 2017.07.05
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트]
    과 이름을 출력하고, 학번 맨 뒤 2자리에 대해서 Up Counter와 Down Counter를 적용시켜서 이를 HBE_COMBO로 확인한다.1. Introduction (실험에대한 ... )과 이름(Moon Beom Woo)을 출력하고 학번 맨 뒤 2자리에 대하여 Up Counter, Down Counter 구현나. Materials(Equipments ... 한다.Procwn Counter Verilog code _ 2그림 SEQ 그림 \* ARABIC 8 Text_LCD_Up&Down Counter Verilog code _ 3그림 SEQ 그림
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 디지털 시스템실험, Verilog 코딩, Sequential Circuit (신호등) 구현, FPGA보드에서 신호등 사진 결과
    적으로 이를 이용하여 BCD Ripple Counter와 Register를 이용한 한자리 정수 덧셈 뺄셈 계산기를 구현하는 것이 목적이다.1. Up/down counter ... 지 않아 delay가 누적되는 현상을 방지하여 만든 동기식 Up/down Counter를 coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서실험제목Sequential Circuit 설계 및 구현실험목표① 동기식 UP
    리포트 | 3페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.16
  • Lab#07 Sequential Logic Design2
    up/down counterU/DCLKQ(N)Q(N+1)1positiveedge000000101positiveedge000000111positiveedge000001000 ... 에 의존하게 된다. 일반적으로 상태의 수를 줄이는데 사용된다.2. Materials & Method가. Materials-FPGA(Filed Programmable Gate Array ... 시뮬레이션 동작을 살핀다.(Isim Simulator)마) iMPACT를 이용해 FPGA에 프로그래밍을 한다.바) 프로그래밍을 완료 한 후 장비에서의 동작을 확인한다.2
    리포트 | 23페이지 | 1,500원 | 등록일 2016.09.11
  • 2017년도 1학기 중앙대학교 전자전기공학부 4학년 마이크로프로세서응용회로설계실습 결과보고서 [2주차]led-mm.c 와 makefile 코드 해석
    았을 경우 에러메세지를 출력printf("\n Press u/d/q for up_shift/down_shift/quit \n");init_keyboard();ch = 'u'; val ... // termios 구조체를 사용하기 위한 선언#define FPGA_LED 0x12400000 // LED의 physical addressstatic struct termios ... 고 프로그램을 종료LED = mmap(NULL, 2, PROT_WRITE, MAP_SHARED, fd, FPGA_LED);// LED라는 변수에 가상 주소와 물리적 주소를 mapping
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.25 | 수정일 2017.06.26
  • Application-Design-Ⅱ-Text-LCD Control
    다.또한 여기에 cursor가 움직이며 숫자의 마지막 두 번째 자리는 up, down 버튼을 이용하여 조절이 가능하다.2013440004GoYeonsuMaterials ... 에는 영문 이름이 초기값으로 표시되게 하라.Clock : 1 kHz. 구현할 기능Cursor Position Control (Button Switch ‘2’=Up / ‘0’=Down ... / ‘5’=Left / ‘7’=Right / ‘6’=Home)Up/Down/Left/Right 버튼을 한 번 누를 때마다 Cursor의 위치가 위/아래/왼쪽/오른쪽으로 한 칸
    리포트 | 27페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 전기전자기초실험 Flip-flop and Counter Design 결과레포트 (영어)
    4-bit Up/down reset counter.▶ Master and slave Flip-flop.Each master and slave are consist of JK ... .▶ 4-bit Up/down preset counterFor up counting, we set the variable u_d=1 in the waveform of 4-bit up ... /down counter and assign starting point p to 0000. Then up/down preset counter operated as up counter
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.01
  • 아주대학교 논리회로실험 설계 에비보고서
    [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. 기능 설명- 보드 ... 의 Key0,1을 이용하여 시작/정지(Key0), Up/Down(Key1) 버튼을 구성한다.- 각각의 세그먼트는 만, 천, 백, 십, 일의 자리를 표시한다.- 기준 clk은 100 ... 을 수행한다. 가운데에 세로로 가로지르는 선이 Up/Down Switch 와 연결되어 있어서, 누를 때마다 Up/Down Counting과 함께 반전기능도 수행하여 결과
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 디지털시스템 실험(동기식 up/down counter)+응용한 신호등 설계
    고찬규(7조)학번 : 2011171059실험제목Sequential Circuit 설계 및 구현실험목표① 동기식 UP/DOWN 카운터를 설계한다.(기본)② 카운터를 이용 ... 와 Register를 이용한 한자리 정수 덧셈 뺄셈 계산기를 구현하는 것이 목적이다.1. Up/down counter의 Verilog code이다2. 조교님이 올려주신 testbench c ... /down Counter를 coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down count를 하고 1이면 up count를 하게끔 만들
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.03 | 수정일 2016.11.10
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 18일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감