• 통큰쿠폰이벤트-통합
  • 통합검색(9)
  • 리포트(9)

"부경대 디시설" 검색결과 1-9 / 9건

  • 부경대 전자공학과 디지털시스템설계 수업과정 코드들
    1. and-vhdllibrary ieee;use ieee.std_logic_1164.all;entity and_vhdl isport ( A, B : in std_logic;Y : out std_logic );end and_vhdl;architecture and_2 o..
    리포트 | 35페이지 | 3,000원 | 등록일 2022.03.21 | 수정일 2022.04.12
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity traffic_sig..
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 중간2(디멀티플렉서)
    [시험과제 02] 디멀티플렉서(Demultiplexer, Demux) 설계[수행 및 제출(1)][그림 4-14]와 [표 4-12]같이 동작하는 디멀티플렉서를 설계하시오.이때, 순
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 중간1(인코더)
    [시험과제 01] 우선순위 인코더(Priority Encoder) 설계[수행 및 제출(1)]8x3 우선순위 인코더의 진리표를 작성 및 완성하시오. [수행 및 제출(2)]앞의 진리표중 하나를 이용하여 우선순위 인코더를 VHDL로 설계하시오. [단, if~end if 구문..
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 과제(수의 정렬)
    [과제 03] 수의 정렬 회로(Sorting Circuit)에 대한 설계[수행 및 제출(1)]수의 정렬회로를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all;package use_package is constant sort..
    리포트 | 10페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 기말1(패리티 검사기)
    [시험과제 04] 패리티 검사기 설계[수행 및 제출(1)]짝수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고 오류가 발생하면 ‘1’을 출력하는 패리티 검사기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_116..
    리포트 | 4페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 건축설비 (기숙사 설비 사례)
    수압민원발생 변경후 사라짐 – 급수 설비 리모델링시 부스터방식적용관한 사례연구 , 부경대 산업대학원 , 김성환 – 최근 공동주택에서의 급수방식 “ 1998 년 겨울 외환위기라는 국가 ... 단국대하교 웅비홀 설비 시설 조사학교 내부 건물 중 학생들의 생활 공간인 기숙사를 Study 를 해 봄으로써 기숙사라는 특별한 시설이 office 건물이나 일반 주거용 건물 ... 과 설비적측면에서 어떤 차이점이 있는지 알아보고 기숙사 시설만의 특징이 무엇이고 이러한 특징이 어떻게 설비에 적용 되었는지를 조사를 통해 파악함으로써 수업 중 배웠던 다양한 설비 시스템
    리포트 | 47페이지 | 4,500원 | 등록일 2019.02.15
  • 홈플러스 vs 메가마트 각 분석과 전략 수립 과정
    선점 효과를 가지고 있으나 홈플러스 감만점은 그보다 적은 주거단지와 근처에 감만부 두가 접해 있다.(메가마트 남천점는 입지적으로 광안리와 주변의 신 아파트 주거단지, 부경대,경성 ... 5월 영국최대 유통기업 테스코와 삼성이 합작한 삼성테스코는 후발업체라는 핸디캡을 딛고 3년 만에 할인점 2위로 등극한 유통업계의 다크호스다. 99년 2개점으로 출발하여 서울 경기 ... 수 없었던 대형 문화센터를 비롯해 병원, 시청 민원실, 미용실, 화원, 약국, 안경점, 대형 휴식 공간 등의 각종 부대 시설들이 갖춰져 있다.할인점을 단순히 상품만 파는 매장이
    리포트 | 12페이지 | 2,000원 | 등록일 2008.07.11
  • 부산혁신도시 조사
    도시2. 부산 혁신도시 - 건설구상혁신지구별 기본구상안≫ 공동주거지구 [군수사령부 이전부지]지 구 여 건▪ 경성대, 부경대와 인접 우수한 교육 여건 ▪ 도심에 위치, 풍부한 인프라 ... 유관기관 :영화후반작업기지, 영상센터, 문화콘텐츠콤플렉스, BEXCO, KNN방송, 시청자미디어센터, 벤처타운, IT콤플렉스, 부산디자인센터, 엔터프라이즈네트웍스 등영화 영상 ... ▪ 크루즈 터미널, 북항대교 건설로 해양관광의 메카 ▪ 조선 및 해양수산 산·학·연 클러스터기 본 구 상▪ 친환경적·개방형 도시공원 형태로 개발 ▪ 지구중심 랜드마크 시설과 해양
    리포트 | 30페이지 | 10,000원 | 등록일 2007.11.30 | 수정일 2022.09.03
  • 유니스터디 이벤트
AI 챗봇
2024년 11월 25일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감