• 통합검색(76,994)
  • 리포트(68,930)
  • 자기소개서(3,544)
  • 시험자료(2,124)
  • 방송통신대(1,779)
  • 서식(289)
  • 논문(242)
  • ppt테마(44)
  • 이력서(28)
  • 노하우(13)
  • 표지/속지(1)
EasyAI “10진” 관련 자료
외 37,209건 중 선별하여 새로운 문서 초안을 작성해 드립니다
생성하기

"10진" 검색결과 1-20 / 76,994건

판매자 설정표지는 다운로드시 포함되지 않습니다.
  • 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    및 설명출력 결과10진 카운터 (출력 값 0~9)-------------------------------p.6이론적 설명코드 및 설명출력 결과5-6-7 반복 3진 카운터------ ... 16개로 사용하는 진수이다.코드 및 설명출력 결과10진 카운터 (출력 값 0~9)이론적 설명앞의 16진수 카운터의 경우에는 vector(3 downto 0) 이 이미 16진수 ... 를 나타내기 때문에 다른 코드를 작성하지 않아도 16진수 카운터로서 동작을 했음을 보았다. 10진 카운터의 경우는 vector(3 downto 0)으로 선언한 뒤에 코드를 추가로 작성
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    . BCD Counter2. Gray Code Counter3. 10/16 Dual Counter4. Crosswalk Controller 횡단보도 제어기5. ADC Controller6 ... signal로 정의하였다.(총 10까지 상태)18줄 : process 구문에서 상태 천이 조건을 만들었다. (클락이 들어가면 다음조건으로 넘어가는 동기 시스템이다.)process 밖 ... 다. (else같은 것)50~60줄 : 4비트로 16가지 경우의 수 중에 10개만 쓰고 나머지는 정의를 안했으므로 others이다.Case를 사용한 BCD_counter의 타이밍 시뮬레이션
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 10진 업 카운터에 대하여 정리
    2310진 업 카운터10진 업 카운터요 약본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계의 일부분인 10진 카운터를 설계해본다. 최저의 저가로 구입한 실험 ... 카운터이다. 카운터란 일정한 수의 펄스 입력을 주어 한 개의 출력 펄스를 만드는 것을 말한다. 그런데 이 실험에서 쓰이는 카운터는 10진 카운터에 해당한다. 10진 카운터란 4비트 ... 이상의 기억 소자로 구성되며 대부분 BCD 코드(2진화 10진 코드)가 사용되며, 10개씩의 입력으로 처음의 상태로 되돌아가는 계수기를 말한다. 10진 카운터에서 사용하는 타이머
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 10진카운터
    를 구현. 3번째 시도 만에 정상 작동 확인. 앞서 두 번의 회로 구현에서 실패한 이유로는 회로도의 잘못된 이해, 구현 중 실수 등이 있을 것. 4.8V의 전원을 인가하여 10진 ... ◎ 실험 결과R_{ 1}=1.0005k OMEGA,R_{ 2}=0.9946k OMEGAC_{ 1}=10 muF,C_{ 2}=0.1 muF·분석납땜을 하기에 앞서 브레드보드에 회로
    리포트 | 2페이지 | 2,000원 | 등록일 2015.05.10
  • VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    했다.(2) 10진 카운터file-new-VHDL file을 열어 다음과 같이 10진 카운터로 동작할 수 있도록 코드를 짰다. 10진 카운터 역시 If문과 process문을 이용 ... 는 S에서 이벤트가 발생할 때 참을 반환 하는 것으로 clk ‘event and clk=’1’ 로 rising edge를 표현했다.10진 카운터 이기 때문에 클락이 9가 되면 초기 ... 화 할 수 있게 짰다.RTL viewer를 통해 10진 카운터가 다음과 같이 설계되었음을 확인한다.LED3부터 차례대로2 ^{0},2 ^{1},2 ^{2},2 ^{3}이다. key
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 10진카운터회로
    2월/3주10진카운터회로-회로도2월/3주10진카운터회로-부품배치도품 명규 격수량품 명규 격수량품 명규 격수량품 명규 격수량TTL ICNE5551IC 소켓14PIN2가변저항VR1 ... 진카운터회로-조립 패턴도-------------------------------------------------------------------------------------2월/3주10진카운터회로-조립 패턴도 ... ㏁1FND5071SN749018PIN1전해콘덴서10㎌1만능기판28X281SN74471저항(4/1W)330Ω1마일러콘덴서0.1㎌1IC 소켓16PIN11㏀1스위치PB SW12월/3주10
    리포트 | 2페이지 | 1,000원 | 등록일 2013.10.04
  • 판매자 설정표지 자료 표지
    10진 카운터
    10진 카운터◎결론? 이번 실험은 10진 카운터 회로를 구성하여 스위치를 눌렀을 때 FND507 7세그먼트 소자에 0부터9까지의 숫자가 차례로 출력 되도록 하는 것이었다. 주어진 ... 회로는 NE555로 이루어진 비안정 M/V 회로의 펄스 발생에 의해, SN7490의 BCD 10진 카운터에서 10진 카운터 된 출력을 SN7447의 2진 세그먼트 디코더 드라이버
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • VHDL 플리플롭, 16진 카운터, 10진 카운터 등
    (F/F, 카운터)차 례SR F/FGATED S/R F/FD F/FJK F/F16진 카운터10진 카운터5-6-7반복 3진 카운터2-13반복 12진 카운터1. SR F/F- set ... 고 출력이 0임을 알 수있다.6. 10진 카운터VHDL 작성RTL VIEWERFunction 결과해석위의 16진 카운터와 대체로 비슷하나 sig_snt가 9일 때 다시 0의 값을 주 ... 경우 입력 D의 값을 Q로 전달한다.4. JK f/f회로도작성function 결과해석현재 CLK는 하강엣지이다. 기본적인 구성은 SR f/f과 비슷하여 00,01,10까지는 똑같
    리포트 | 11페이지 | 2,500원 | 등록일 2015.05.30
  • 2진10진변환기
    2진 10진 변환기1.회로동작(1) Vcc는 건전지 6[V]전원을 Diode(IN4001)에 의하여 0.6[V] 전압강하시킨 다음 5.4[V]를 공급한다.(2) 스위치 sw1,s ... sw1을 누르면 sw1=1, sw2=0, sw3=0, sw4=0가 위의 진리표와 같이 작용한다.② 어느 스위치 “1”이 “0”이던 간에 진리표에 의한다.(3) NAND GATE에 의하여 2진화 10진 부호(BCD코더)를 10진수로 해독하는 것이다.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.11.29 | 수정일 2016.10.06
  • 10진 카운터
    1. 명제10진 카운터용 IC 7490, 7447 BCD 디코더 & driver, FND 507 7-Segment를 이용하여 10진 카운터를 설계하고, 계수결과를 눈으로 확인 ... 과 단자의 기능을 이해한다.② 7490을 이용한 10진 카운터의 구성방법 및 동작을 이해한다.3. 설계순서① IC 사양 조사② 회로구성③ 회로 동작확인④ 디버깅4. 사양1 ... > 7490 십진 카운터이 소자는 한 패키지 내에 2분주와 5분주 카운터가 있다. 이들은 각기 사용할 수도 있고 연결하여 10진 카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주
    리포트 | 4페이지 | 2,000원 | 등록일 2010.05.29 | 수정일 2020.12.14
  • 10진 카운터설계
    1. Source Programlibrary ieee; -- 사용할 library들을 선언한다.use ieee.std_logic_1164.all; -- IEEE표준 library를 사용. use ieee.std_logic_unsigned.all; --Count를 위해 ..
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • 10진카운터
    [실험3] 10진 카운터 제작5. 실험 및 실험결과도면을 참조하여 회로를 구성하라.Function Generator를 이용하여 1Hz TTL 신호를 발생시킨다.발생시킨 TTL ... 을 직접 받는 74LS90에 대해서 살펴보자. 74LS90은 사각파의 신호를 받으면 이를 10진법(10가지 종류의 신호를 반복)으로 출력한다. 0∼9까지 숫자가 10개 이기 때문 ... 에 이러한 디지털 IC가 사용된 것이다.74LS90에서 출력된 신호는 다음 디지털 IC인 74LS47에 입력된다. 74LS47은 10진법의 신호를 7segment에 각각 0∼9
    리포트 | 2페이지 | 2,000원 | 등록일 2009.07.14
  • 10진 카운터를 이용한 100진 카운터 결과보고서
    결과 보고서(10진 카운터를 이용한 100진 카운터)과 목하이브리드 설계교 수 님이영훈 교수님조10조이 름박상웅, 허성원학 번20080811, 20080853제 출 일13. 03 ... . 참고 문헌 ------------------------- 8page1. 명제10진 카운터를 이용한 100진 카운터를 설계하라. 단, 함수발생기를 사용하지 않고, 트리거발생회로 ... Counters이 소자는 한 패키지(Package) 내에 2분주와 5분주 카운터가 있다. 이들은 각기 사용할 수도 있고 연결하여 10진 카운터로 사용할 수도 있다. 10분주로 사용할 경우 2
    리포트 | 10페이지 | 3,000원 | 등록일 2013.07.01
  • 2진 10진 변환기
    2진 10진 변환기6 조개 요1. 역할분담2. 2진 10진 변환기란 ?3. 회로도,패턴도,부품견적서4. 동작설명5. 시뮬레이션입력에 2진수 입력 을 가하면 출력에는 10진수 출력 ... 을 나타내는 변환기 4개의 스위치로 2진수를 입력 → 10개의 LED를 통해 10진수의 값을 출력 LED로 0~9 까지만 출력22진 10진 변환기란?3- 1N4001 : 실리콘 ... 을 기준). 흔히 교류 전압을 직류 계통으로 변환시켜 주는 전원 회로나 소자를 보호하기 위한 소자로 쓰입니다(역 전류 방지)2진 10진 변환기 회로도32진 10진 변환기 회로도52진
    리포트 | 16페이지 | 2,000원 | 등록일 2009.05.13
  • 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계
    1. 개요 : 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계할 수 있고 이 출력값을 FND507을 이용하여 출력할 수 있다.2. 목적 : 카운터 IC 7490 ... 의 동작 원리를 정확한 파악하고 FND507을 통하여 출력되는 원리를 정확히 이해하는데 그 목적을 둔다. 10진 카운터의 설계를 응용하여 100진 업 카운터를 설계해보고, 두 카운터 ... IC 7490의 리셋방법에 대하여 명확한 이해를 돕는다.3. 제작 동기 : 10진 카운터 IC가 실생활에 많이 활용되고 있는 것을 흔히 발견 할 수 있다. 이것을 응용하여 100
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • [VHDL] 동기식 10진 카운터
    실험?실습 보고서실험조: 8조조원 : 최문희, 최윤정0. 제 목동기식 10진 카운터1. 목 적VHDL을 이용하여 동기식 10진 카운터 회로를 구현함으로써 순차회로에 대한 VHDL ... 식 10진 카운터 Function tableCLRn LDnMode0 X1 01 1Asynchronous Clear : Q
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.23
  • 10진 bcd 부호 변환기
    1. 실험 제목- 10진-BCD 부호 변환기2. 실험 목적(1) BCD 부호의 개용 및 원리를 배운다.(2) 10진-BCD 부호 변환 기법의 원리를 이해하고 이를 응용한 부호 ... 된 그룹의 각 비트의 자릿값이 다른 것들도 있다는 점을 유의해야 한다.10진8421 BCD000*************0011401005010160110701118100091001 ... 표 . 10진-BCD 변환표(2) 10진 BCD 부호 변환기- 이 변환기는 0에서 9까지의 한자리의 10진수의 입력이 들어오면 이것을 8421 BCD코드의 4bit의 2진수로 바꾸
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.17
  • vhdl를 이용한 10진 카운터 설계
    (RST, CLK)0부터 9까지의 10진수를 셀 수 있는 10진 카운트를 설계해 보았습니다. 입력으로 RST와 CLK를 사용하였고 출력으로는 4비트 2진수를 받을 수 있는 CNT_OUT ... 을 Vector로 선언하여 사용하였습니다. 시뮬레이션은 Test Bench를 설계하여 이용하였고, 모델심을 이용하여 10진 카운트로써 올바르게 동작하고 있는지 확인해 보 ... Library IEEE;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_10 isport
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.11
  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    _data;output rs, rw, e;wire [3:0] dataa10, dataa1, datab10, datab1, bcd1a, bcd1b, bcd1s;wire [3:0 ... ] bcd10a, bcd10b, bcd10s;wire bcd100s;wire k_clk;...■ ModelSim-Altera를 이용한 타이밍 시뮬레이션`timescale 1ns / 1
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 10진 카운터 제작 결과레포트
    [실험3] 10진 카운터 제작1. 목적디지털 칩의 가장 기본이 되는 74시리즈를 다루어 봄으로서 디지털 이론을 공부해 본다. 실험에서는 7세그먼트를 구동하기위한 10진 카운터 ... 은 10진카운터로 0부터 9까지의 숫자를 카운터하는 회로였다.사실 이 7segment 표기법은 실제 우리생활에서 많이 접해볼수있는 표시였다.전자시계나 엘리베이터에서라든지 흔히 접해볼수있 ... 는 작동이었다.먼저 이번에 사용한 IC는 74LS90과 74LS47 두가지 이다. 74LS90은 사각파의 신호를 받으면 이를 10진법(10가지 종류의 신호를 반복)으로 출력
    리포트 | 3페이지 | 1,500원 | 등록일 2010.03.16 | 수정일 2016.11.04
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 03월 14일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감