• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,019)
  • 리포트(795)
  • 자기소개서(211)
  • 시험자료(3)
  • 이력서(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 표지/속지(1)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 141-160 / 1,019건

  • 워드파일 Vivado를 이용한 Moore, Mealy FSM 설계 결과레포트
    FPGA보드 사진 1) Moore machine 2) Mealy machine 4. ... 실험 고찰 이번 실험은 FPGA 보드와 Verilog를 이용하여 Moore machine과 Mealy machine을 설계하고 보드에 업로드해 결과를 확인하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 서강대학교 디지털회로설계 과제 Full custom desgin
    또한 FPGA는 소량생산에 유리하다. 항공이나 국방, 우주 분야에서 FPGA는 소량으로 생산되는 고성능 프로세서로 생산효율이 CPU, ASIC보다 월등히 높다. ... FPGA는 매우 큰 회로(대개 1000~10000개)를 한 소자에 넣어둔 것이다. FPGA의 type에는 SRAM, anti-fuse EPROM, EEPROM이 있다. ... 따라서 핸드폰처럼 작은 사이즈가 필요한 제품은 FPGA로 설계하기 어렵다. 이러한 FPGA는 의료, 항공, 우주, 국방, 철도, AI, 머신러닝 등에 사용되고 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 디지털 공학 실험 XILINX 결과레포트 7-segment
    실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)] 2. 실험 결과 3. ... 고찰 이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal ... 저번과 마찬가지로 코드의 정확한 작성이 매우 중요함을 알 수 있었다. ‘~’ 기호를 실수로 빼먹었는데 simulation을 하는 데에는 딱히 오류가 검출되지 않았지만 FPGA에서 각
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 9 보고서
    ARM FPGA Acceleration Abstract This experiment is for FPGA acceleration. ... ARM can play a role of PS and FPGA can play a role of PL. ... The concepts for implementing the FPGA acceleration are introduced at first.
    리포트 | 8페이지 | 3,000원 | 등록일 2020.08.18
  • 한글파일 디지털 공학 실험 XILINX 결과레포트 hlaf, full, 4-bit adder
    실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(4-bit Adder)] 2. ... 고찰 이번실험도 저번실험과 마찬가지로 verilog를 사용하여 코드를 작성하고 FPGA를 통해 검증을 하는 실험이었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.06.21
  • 파일확장자 뷰웍스 회로설계 합격 자소서
    - 20년 상반기 뷰웍스 합격 자소서- 영상 솔루션 개발지원 직무- 영상 솔루션 개발을 위한 디지털 로직설계- 센서 제어 및 영상 입출력, 영상처리를 FPGA칩으로 구현(비메모리 반도체
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.02.18
  • 파일확장자 고려대학교 디지털시스템실험 A+ 6주차 결과보고서
    후에 counter나 register에 reset 기능을 넣은 코드 를 통해 FPGA를 구현할 수 있으면 좋겠다는 생각을 하게 되었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.06.21
  • 한글파일 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    일단 FPGA와는 확연히 다르게 칩 내부에 비활성 메모리가 존재하여 외부 설정 ROM이 불필요하다. FPGA ? ... PROM, PAL, CPLD, FPGA 차이점과 장단점 PROM, PAL, CPLD, FPGA는 모두 PLD(Programmable Logic Device)인데 이는 소자 제조 후 ... 하지만 FPGA는 대개 데이터 저장을 SRAM에 의존하며 소자 자체는 휘발성이라 전원을 끄면 데이터가 사라진다.
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 한글파일 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    사용한다면, verilog로 구현한 가상회로를 주입하여 FPGA의 실제동작을 확인해보자. 3. ... 실험 목적 : 1) 래치나 플립플롭의 단순한 기능을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 무어와 밀리 머신 회로의 기능을 verilog로 구현하자. 2) 만약에 FPGA보드를 ... Digilent Nexys4 FPGA Board: 이미 설계된 하드웨어를 반도체로 생산하기 직전 최종적으로 하드웨어의 동작 및 성능을 검증하기 위해 제작하는 중간 개발물 형태의 집적
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야 한다. ... 아직은 FPGA kit에 작성한 코드를 연결해서 직접 LED를 확인하는 과정이 생소하지만 여러 실습과정들을 거치면서 실력이 늘고 있다는 것을 느끼게 되었다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16지수를 한자리 7-세그먼트에 출력하며 스위칭 인코더와 7-세그먼트 디코더의 동작특성을 알고, FPGA kit와
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 FSM회로 구현 예비레포트
    -FPGA 의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. 3. ... 실험 장비 Digilent Nexys4 FPGA Board, vivado design suite 2014.4 4. ... 실험 제목 [FPGA Board를 이용한 FSM 회로의 구현] 2. 실험 목적 -hardware description language(HDL)을 이해하고 그 사용방법을 익힌다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 한글파일 충북대 디지털시스템설계 결과보고서5
    실험목표 (1) LED Controller를 verilog로 설계하고 FPGA 보드로 결과를 확인한다. (2) FND Timer를 verilog로 설계하고 FPGA 보드로 결과를 확인한다 ... 실험 제목 LED Controller Design FPGA 7-Segment 구동 Design 2. ... 실습시간에 FPGA 동작을 촬영하지 않아 PPT에 있는 사진을 첨부하였다. 5.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    반대로 FPGA 구조는 내부선에 따라 결정된다. 내부선은 FPGA를 (내부적으로 동작하는 실제적인 설계범위의 기간에) 더 유연하고 설계에 더 복합적으로 만든다. ... 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. - CPLD와 FPGA의 가장 큰 차이점은 구조적인 차이이다. ... 어떤 FPGA에는 다른 부분이 계속 동작하는 동안 소자의 일부분을 재프로그램하는 부분적 재설정의 기능이 있다. (2) 본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 파일확장자 고려대학교 디지털시스템실험 A+ 10주차 결과보고서
    SRAM 을 이용하여 계산기를 구현할 때, 결과값이 FPGA에 뜨지 않았는데, 7 segment control module을 사용할 때 rst 값에 1을 넣어주는 것으로 이러한 문제를
    리포트 | 2페이지 | 2,000원 | 등록일 2023.06.21
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    아래 그림은 실습시 이용한 FPGA이고, 상단에 7segment 6자리로 구성된 display가 있다. 그림1. ... FPGA(Rov-Lab 3000) 2) Process별 설명 총 5개의 process를 코딩으로 구현하여 clock을 만든다. ... 배경이론(Background) 1)digital clock FPGA를 이용하여 실습하고, 이 과정에서 7segment를 이용하여 숫자를 나타내게 된다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 디지털공학 xilinx 결과레포트 NAND2, NOR2, XOR2
    실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증] 2. 실험 결과 -NAND -XOR -NOR 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 한글파일 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    구현한 가상회로를 주입하여 FPGA의 실제동작을 확인해보자. 3. ... FPGA 등의 기계가 없이 테스트를 할 수 있으므로 회로 테스트에 용이하다. 테스트 모듈에서 검증하고 싶은 모듈을 호출하여 와이어 들의 값을 확인할 수 있다. ... 실험 장비 : 1) Digilent Nexys4 FPGA Board: 이미 설계된 하드웨어를 반도체로 생산하기 직전 최종적으로 하드웨어의 동작 및 성능을 검증하기 위해 제작하는 중간
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 워드파일 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    따라서 FPGA는 일반적으로 외부 ROM과 함께 사용한다. - 본 실험에서 사용하는 FPGA는 휘발성(volatile)이다. ... CPLD와 FPGA는 중요한 차이가 있는데 CPLD는 비휘발성이지만 FPGA는 SRAM에 데이터를 저장하기에 전원을 끄면 데이터가 사라진다. ... FPGA는 CPLD와 비교했을 때 속도가 빠르고 집적효율적인 이점이 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    CPLD와 FPGA는 중요한 차이가 있는데 CPLD는 비휘발성이지만 FPGA는 SRAM에 데이터를 저장하기에 전원을 끄면 데이터가 사라진다. ... 따라서 FPGA는 일반적으로 외부 ROM과 함께 사용한다. - 본 실험에서 사용하는 FPGA는 휘발성(volatile)이다. 이것이 무슨 의미인지 조사하시오. ... FPGA는 따라서 일반적으로 PROM과 함께 사용되어 전원이 공급됐을 때 PROM에서 데이터를 읽어온다. - 본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan 3 Family이고
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 2022년 상반기 LG디스플레이 반도체/디스플레이 합격자소서
    Arduino1로 입력을 받고 FPGA로 연산 후 Arduino2로 출력할 수 있도록 Verilog, C 코드 수정 3 DE1-SoC FPGA 두더지 잡기" - Quartus Schematic을 ... 어셈블리어를 일부 구현해 ModelSim으로 기본적인 작동 확인 2 FPGA와 Arduino를 이용한 "학점계산기" - 3개의 마이크로 컨트롤러 간의 IO 설계. ... "학교수강교과목" 1 프로그래밍 - 자료구조 A+, 고급프로그래밍 A-, 알고리즘 A-, 운영체제 A+ 2 HDL, FPGA 설계 - 디지털공학실험 B+, 디지털시스템설계 A-, IoT실험
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.11.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:17 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기