• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,049)
  • 리포트(784)
  • 자기소개서(177)
  • 방송통신대(71)
  • 시험자료(11)
  • 논문(6)

"이화여대 대학원 유아교육" 검색결과 81-100 / 1,049건

  • 한글파일 한동대학교 상담대학원(야간) 자기소개서, 성장계획서
    상담심리교육대학원 학업계획서 이화여대 상담심리교육대학원 연구계획서 이화여대 상담심리대학원 경희대학교 고려대학교 상담심리교육대학원 학업계획서 상담심리학과 대학원 이화여대 상담심리교육대학원 ... 학업계획서 상담심리교육대학원 연구계획서 이화여대 상담심리대학원 경희대학교 고려대학교 상담심리교육대학원 학업계획서 상담심리학과 대학원 한동대학교 상담대학원(야간) 자기소개서 및 성장계획서 ... 1st ★★★★★ 최종 합격 ★ 한동대학교 상담대학원(야간) 지원에 가장 도움이 되는 자기소개서 성장계획서입니다. ★ 한동대학교 상담대학원(야간) 지원자로 학업 및 연구 자질을 충분히
    자기소개서 | 5페이지 | 5,000원 | 등록일 2024.02.18
  • 한글파일 자아 효능감 검사 및 이에 대한 신념 점검 결과
    참고문헌 강혜경 (2002) 보육교사의 직무 스트레스가 직무만족도에 미치는 영향에 관한 연구 -이화여자학교 사회복지대학원 석사학위 청구논문. ... 대학원 석사학위논문 구은미 (2004)보육 프로그램의 질에 영향을 미치는 교사 관련 변인 분석 - 숙명여자학교 대학원 박사학위논문 ... 교수 효능감이 높은 유아 교사는 유아의 능력을 바로 파악하고, 이들의 능력에 맞는 교육프로그램을 계획하고 수행하는 능력을 가졌다.
    리포트 | 3페이지 | 2,500원 | 등록일 2023.07.07
  • 한글파일 유아건강교육 - 질병을 예방하는 방법을 알고 실천한다. 라는 교육 내용과 관련된 활동 또는 놀이를 2가지 조사하고, 각 활동놀이가 유아건강교육 활동으로 적합한지를 분석하시오.
    대구 전문대 논문집. 서순이(1987) - 유아의 건강습관과 건강상태에 관한 연구. 이화여자학교 대학원 석사학위논문. ... 대구 전문대 논문집. 서순이(1987) 2) 유아의 건강습관과 건강상태에 관한 연구. 이화여자학교 대학원 석사학위논문. ... 고진양(1992) 3) 일반유아교육기관과 생태유아교육기관의 건강교육 운영실제에 관한 비교연구. 부산대학교 교육대학원 석사학
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.16 | 수정일 2021.11.19
  • 워드파일 뉴스 등을 통해 알려진 아동권리 침해 사례 중 하나를 선택한 후, 해당 사례가 아동권리협약에
    참고문헌 ● 『 ‘아동학대 친모 찾아간 병원… 연명치료 중단 동의 논란’』, kbs ● 배윤주(2014)『아동 청소년의 권리에 관한 연구』, 이화여자학교 대학원 ... 이를 예방하기 위해서는 주변인과 지역사회에서 관심을 가지는 것이 중요하다고 생각되며, 지역사회 측에서도 아동들이 그 권리를 잘 가지고 살아가는지, 유아교육기관 등에서 생활을 잘 하고 ... 심 정지 상태로 구조가 된 아동은 충남대병원에 중환자실로 옮겨져 반년이 넘도록 치료를 받고 있다는 사실을 알 수 있었다.
    리포트 | 3페이지 | 2,000원 | 등록일 2024.01.24
  • 한글파일 아동기 신체발달의 특징을 설명하고 신체발달에 위협을 주는 요인은 무엇인지와 건강하게 성장할 수 있는 방안을 제시하시오.
    이화여자학교 교육대학원, 서울. Williams, H. G. (1983). Perceptual and Motor Development. ... 유아교육기관 급식 실태와 교사의 식생활지도 및 영양지식에 대한 연구. 석사 학위 청구 논문. 성신여자학교 대학원, 서울. 정영혜(2005). ... 건국대학교 교육대학원, 서울. 박아영(2014). 영아반 교사들의 식습관 지도 행동 변화를 위한 실행연구. 석사 학위 청구 논문. 중앙대학교 대학원, 서울.
    리포트 | 4페이지 | 9,000원 | 등록일 2023.05.28 | 수정일 2023.06.03
  • 한글파일 아동 요로감염(UTI) 문헌고찰
    이승주, 『소아 요로감염의 임상 지침』, 이화여자학교 의학전문대학원 소아과학교실,, Korean Journal of Pediatrics Vol. 52, No. 9, 2009 ? ... 고려 대학교 구로 병원/ 고려대학교의료원 건강칼럼, 『요로감염』 ... 영/유아 원인불명 발열의 가장 흔한 원인 ? 전형적인 배뇨이상 증상보다는 발열과 같은 비특이적 증상이 주로 나타남 ? 첫 요로감염은 대부분 2세 이전에 발생 ?
    리포트 | 4페이지 | 1,500원 | 등록일 2023.06.15
  • 한글파일 발달심리 ) 브론펜브레너는 생태학적 체계 조망 이론을 제시하였다. 그는 개인이 속한 환경생태계를 5체계로 구분하였습니다. 그의 이론에 비추어 나 자신이 체계들과 어떻게 상호작용하는지(하였는지)분석하십시오. 할인자료
    학교폭력에 끼치는 영향」,이화여자학교 교육대학원, 2014,p17 3) 김희선,「생태학적 관점에서 학교폭력 유발요인이 학교폭력에 끼치는 영향」,이화여자학교 교육대-19 ... "생태학적 관점에서 학교폭력 유발요인이 학교폭력에 끼치는 영향." 국내석사학위논문 이화여자학교 교육대학원, 2014. ... 서울 1) 김희선,「생태학적 관점에서 학교폭력 유발요인이 학교폭력에 끼치는 영향」,이화여자학교 교육대학원, 2014,pp15-16 2) 김희선,「생태학적 관점에서 학교폭력 유발요인이
    리포트 | 6페이지 | 1,500원 (5%↓) 1425원 | 등록일 2020.12.28 | 수정일 2020.12.30
  • 한글파일 통합교육의 협력적 접근에서 일반교사와 특수교사의 역할과 책임 및 협력내용, 일반교사와 특수교사의 협력방안과 협력을 통한 기대효과에 대해 서술하시오.
    장애아 수용태도와의 관계 (인천교육학교 교육대학원 2002 안승숙) 협력교수가 일반아동과 학습장애아동의 사회과 학업 성취 및 학습 태도에 미치는 영향(이화여자학교 교육대학원 2001 ... 참고문헌 장애유아 통합교육의 실행 과정 속에서 생기는 사립유치원 교사의 고민과 해결전략 (이화여자학교 대학원,2010 김태영) 특수학급교사와 통합학급교사간 협력 정도와 일반아동의 ... 가장 기본적으로는 장애 유아들을 특수학교에 배치함으로 인해 나타나는 낙인 효과를 배제할 수 있으며 통합교육의 목적과 관련하여 가장 큰 효과로 알려져 있는 사회성 발달을 이룰 수 있다
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.13
  • 한글파일 수학적 지식의 특성과 발달 단계에 따른 수학적 개념과 단계에 맞는 교사로서의 수학지도 방법에 대해 개인적 의견을 포함하여 서술하시오. 할인자료
    참고문헌 유아학교육 강의 교안 정정인(2003), 유아학교육에 관한 어머니의 인식조사, 이화여자학교 교육대학원 석사학위논문 김경화(2008), 은물활동을 통한 유아학교육이 ... 유아의 수학적 능력에 미치는 영향, 한국외국어대학교 교육대학원 석사학위논문 권영례 (2014). ... 유아학교육. 양서원 김영미 (2011). 유아·교사 그리고 교수가 행복한 유아학교육. 창지사.
    리포트 | 3페이지 | 2,000원 (5%↓) 1900원 | 등록일 2024.01.08
  • 한글파일 보육실습_만 3세 유아 발달적 특성(언어, 인지, 정서, 사회, 신체 발달)에 대해 기술하시오.
    이화여자학교 교육대학원. 2017 ? 박찬옥 외. 영유아 프로그램 개발과 평가. 경기: 정민사, 2013. ? 교육부. ... 오진욱.만 3세 유아의 혼자 놀이 의미탐색, 중앙대학교 교육대학원 석사논문. 2012. ? ... 민경욱.만 3세 유아반의 유아-교사, 유아-유아의 언어 상호작용 변화과정·배재대학교 대학원 석사논문. 2013 ?
    리포트 | 6페이지 | 3,000원 | 등록일 2023.08.02
  • 한글파일 아동발달 이론 중 애착관련 학술지 논문을 선택한 후 관련 학술지를 요약 정리하시오
    국내석사학위논문 우석대학교, 2013. - 김선정, “유아와 교사의 기질에 따른 유아-교사 간 애착 안정성과 유아의 사회적 능력”, 이화여자학교 대학원 석사학위 청구논문, 1988 ... . 1) 김선정, “유아와 교사의 기질에 따른 유아-교사 간 애착 안정성과 유아의 사회적 능력”, 이화여자학교 대학원 석사학위 청구논문, 1988. 2)3. ... 그리고 최종학력의 현황을 살펴보면 전문대 졸업이 43.6%로 가장 높았으며, 그다음으로 보육교사 교육원수료가 29%, 대학교 졸업이 26.5%, 대학원 졸업 0.9% 순서로 나타났다
    리포트 | 6페이지 | 2,000원 | 등록일 2024.02.05
  • 한글파일 관심 있는 장애유형을 하나 설정하여 이러한 장애를 가진 영유아에게 필요하다고 생각되는
    개별화교육계획 참여 증진 프로그램이 초등학교 장애학생의 개별화교육계획 참여 지식과 기술 및 자기결정력에 미치는 영향. 이화여자학교 교육대학원 석사학위논문 - 박현진. 2009. ... 개별화 언어교육 프로그램이 유아의 언어 능력에 미치는 효과. 울산대학교 교육대학원 석사학위논문 ... 장애전문어린이집 개별화교육프로그램의 운영실태분석 및 지원요구. 동의대학교 교육대학원 석사학위논문 - 우세진. 2019.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.30
  • 한글파일 청소년에게 영향을 주는 환경 체계 탐색
    소통만이 우리 청소년들을 유해 환경에서 보고하고 더 건강하고 더 성숙할수 있는 환경체제를 구성할수 있으리라 생각된다. ※ 참고문헌 : 가정환경이 청소년의 자아개념에 미치는 영향/이화여자학교 ... 김유자/ 1996 환경적 요인이 아동 성장기의 정신건강에 미치는 영향 /덕성여자학교 정보통계학과/민대기/ 2013 ... 유아기부터의 양육의 태도와 대화법을 모방하는 것이 대부분 어머니의 역할이 크다.
    리포트 | 2페이지 | 2,500원 | 등록일 2022.08.24 | 수정일 2022.08.29
  • 한글파일 프로젝트 주제를 선정할 때 고려해야 할 요소적 특징을 기술하고, 수강자가 주제를 임의 선정하여 예비
    프로젝트 접근법 적용에 관한 연구(이화여자학교 교육대학원.김혜선.1996) - 프로젝트접근법 활용이 유아의 창의성발달에 미치는 효과(군산대학교 대학원.김혜정.2003) ... 참고문헌 - 강의교안 - 채소 프로젝트 접근법 활동이 영아의 편식, 영아-교사관계, 놀이성 및 사회적 유능성에 미치는 효과(명지대학교 대학원.안정현.2020) - 유아교육과정 운영에서의 ... 서론 오늘날 유아교육에서는 유아의 전인적 발달을 위한 유용한 교육 방법 중 하나로 프로젝트 접근법을 인식하였다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.01.11
  • 한글파일 영양소대사 영양소분석보고서(철분, Fe)
    국내 석사학위논문 숙명여자학교 교육대학원. 조지현. 2009. "임신부의 철분 섭취 실태와 임신 결과." 국내 석사학위논문 성신여자학교 대학원. 이방숙. 2014. ... 국내 박사학위논문 이화여자학교 대학원. 박혜진. 2005. "철분과 저작성을 보강한 보충식 섭취가 영유아의 영양 상태 및 행동 발달에 미치는 영향." ... 국내 박사학위논문 이화여자학교 대학원. 서은옥. 1998. "수원 시내 일부 여고생의 체질량지수 관련 요인 분석 및 철분 영양상태 연구."
    리포트 | 4페이지 | 2,500원 | 등록일 2021.12.23
  • 한글파일 보육교사의 중요성을 교사 개인적 자질의 관점에서 논하시오
    김선정(1998).유아와 교사의 기질에 따른 유아-교사간의 애착안정성과 유아 의 사회적 능력.이화여자학교 대학원 석사학위논문. ... 김인아(2004).교사-유아 안정애착성과 교사-유아 관계 및 사회적 능력과의 관계.경희대학교 교육대학원 석사학위논문. ... 참고자료 강유선(2006).유아의 보육경험과 애착유형 및 사회적 능력과의 관계.성신여 자대학교 대학원 석사학위논문.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.06.30
  • 워드파일 프로젝트 접근법의 1)프로그램의 철학 2)교육사상 3)교육방법 4)교사의 역할
    참고문헌 김시은, 프로젝트 접근법과 유아의 창의적 사고력과의 관계, 덕성여자학교 석사학위 논문, 2000 김혜선, 유아교육과정 운영에서의 프로젝트접근법 적용에 관한 연구, 이화여자학교 ... 교육대학원, 1996 이소은, 이순형, 영유아 프로그램 개발과 평가, 방송통신대학교출판문화원, 2014 최은영, 프로젝트접근법 활용이 유아의 창의성발달에 미치는 효과, 군산대학교 ... 교육대학원, 2006 지옥정, 유아교육의 통합적 교육과정 운영을 위한 접근법, 한국영유아보육학 0.2, 1995, 125-148 채정연, 프로젝트 접근법의 이론과 실제, 대구: 책과세계
    리포트 | 4페이지 | 1,500원 | 등록일 2024.01.16
  • 한글파일 달크로즈의 음악 교수 방법 유리드믹스, 솔페이즈, 즉흥연주에 대해 조사하고 자신의 음악적 견해를 쓰시오.
    이화여자학교 교육대학원 석사학위 청구논문. ... 이화여자학교 교육대학원 석사학위 청구논문. 남은열 (2017). 유아 음악교육. 정민사. 류미정 (2008). ... 특히 유아기는 음악 능력 발달을 위해 매우 중요한 시기라고 생각한다.
    리포트 | 3페이지 | 2,500원 | 등록일 2020.12.14
  • 한글파일 보육학개론-프뢰벨과 듀이의 사상을 비교하여 설명하고 유아교육에 미친 영향을 기술하게 이에 대한 본인의 생각을 논하시오.
    유준호, “Dewey의 관점에서 본 Froebel의 유아교육론”, 이화여자학교 대학원, 석사학위 논문, 1994 ... 조유리, “프뢰벨과 듀이의 놀이 이론에 대한 비교 연구”, 경인교육학교 교육대학원, 석사학위 논문, 2012 2. ... 장현우, “Froebel의 놀이 이론 고찰”, 한국교원대학교 대학원, 석사학위 논문, 2005 3.
    리포트 | 3페이지 | 3,000원 | 등록일 2022.03.25
  • 한글파일 연구계획서 작성(그림책 놀이를 통해 유아의 한글 익히기)
    그림책 개발, 이화여자학교 대학원, 2010. - 김민숙, 그림책을 활용한 초기 문해력 지도 과정 연구”, 전주교육학교 대학원, 2018. - 전기숙, 한글교실 노인 대상 ‘그림책 ... 대학원, 2010. - 조민주, 동일 그림책을 한글과 영어로 읽어줄 때 유아의 반응, 건국대학교 대학원, 2017. - 김명희, 한글의 창제 원리를 바탕으로 한 유아교육용 한글 낱자 ... 읽어주기’ 프로그램 개발 및 실행 연구, 춘천교육학교 대학원, 2018.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.02.07 | 수정일 2022.02.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 01일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:51 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기