• 통큰쿠폰이벤트-통합
  • 통합검색(822)
  • 리포트(772)
  • 자기소개서(42)
  • 시험자료(5)
  • 논문(2)
  • 방송통신대(1)

바로가기

ASIC 독후감 - ASIC 관련 독후감 3건 제공

"ASIC" 검색결과 21-40 / 822건

  • 2017년도 중앙대학교 전자전기공학부 3학년 2학기 ASIC설계 Verilog과제
    기존의 Homework2의 coding를 참고하여 만들어 보았습니다. v_machine_ver2의 경우 stage갯수가 5개로 늘어나 2bit으로는 부족하므로 3bit로 바꾸고 state diagram을 바탕으로 next state logic과 output logic을..
    리포트 | 2페이지 | 1,500원 | 등록일 2018.07.05
  • 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#4)
    ① 각 회로의 sketch② 최종 Layout그림의 스크린 캡처(전체 회로의 사이즈를 식별할 수 있어야 함.) 또는 프로그램상의 print메뉴를 통해 출력한 것⑦ 실습결과에 대한 고찰(comment) : 보고서의 중간중간 또는 마지막에 코멘트를추가해도 되며, 그 내용은..
    리포트 | 11페이지 | 1,000원 | 등록일 2014.05.03 | 수정일 2014.05.05
  • ASIC 프로젝트 양궁 게임
    verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 양궁게임을 만들어 보았다. 게이지 모드를 통해 확장보드의 수직 변위와 동적(움직이는보드)모드를 통해 수평변위를 결정하며 바람이라는 변수를 두어 설계하였다. 또한 7-세그먼트를 통해 최근점수와 누적점..
    리포트 | 9페이지 | 7,000원 | 등록일 2008.12.03
  • [ASIC ] ASIC 디자인 흐름도
    ASIC 설계 FollowDesign MethodologyBottom-UP Full custom Small area, high performance Top-down HDL ... designFront-end designFront – end 설계 (1)1. ASIC spec 결정 설계할 ASIC의 주요 사양 결정 기능 사양, 입출력 신호 사양, 시험 계획 3 ... 시뮬레이션을 수행하는 과정 4. Fabrication Testing 설계된 칩을 제작하고 테스트 하는 과정 설계된 ASIC 칩이 제대로 동작하는지를 검증하는 과정Tools - CadenceTools - Synopsys{nameOfApplication=Show}
    리포트 | 12페이지 | 1,000원 | 등록일 2004.11.12
  • ASIC(Application Specific Integrated Circuit)
    ASIC이란 무엇인가?ASIC(Application Specific Integrated Circuit): 특정 용도로 설계된 비메모리 반도체 칩.1. ASIC의 소개많은 사람 ... 들이 ASIC을 단순히 큰 반도체 회사에 설계를 넘겨주어서 반도체를 만드는 Gate Array나 Standard Cell 만으로 알고 있는데 이는 좁은 의미의 ASIC이며 이것이 전체 ... 제품들이 나오고 있다 이렇게 범용성을 지니지 않은 특정 용도로 만들어진 반도체가 바로 ASIC이다. ASIC이란 Application Specific IC의 약자로 우리 말
    리포트 | 8페이지 | 무료 | 등록일 2009.07.18 | 수정일 2018.11.24
  • [asic] asic_shifter
    1. 이론시프트 레지스터는 2진수를 저장하는 플립플롭이 직렬로 연결되어 있는 집합체입니다. 입력된 2진수는 시프트 실행 신호가 들어 올 때마다 left shifter는 왼쪽으로, right shifter는 오른쪽으로 이동합니다. 이번에 구현한 코드는 한 비트씩 이동하는..
    리포트 | 3페이지 | 1,000원 | 등록일 2004.08.18
  • [ASIC] ASIC
    §. CISC-memory Coding. [clockgen]에서 MEM_CLK'event and MEM_CLK 은 CLK 신호가 '0'일 때 '1'이 되어 CLK의 falling edge에서 구동하게 된다. (아래 그림 참조) ..
    리포트 | 5페이지 | 1,000원 | 등록일 2001.11.26 | 수정일 2015.09.30
  • ASIC프로젝트 두더지 게임
    verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 6-HOLE에서 나오는 두더지를 잡는 게임을 만들어 보았다. 총 버튼은 6개로서 6구멍에 나오는 두더지를 잡는 것에 그 목표가 있다. 두더지를 잡았을 경우는 두더지의 얼굴색으로 표현을 하였다.
    리포트 | 12페이지 | 10,000원 | 등록일 2007.12.04 | 수정일 2018.12.17
  • [ASIC] SIC
    * ASIC의 정의와 종류1. ASIC 의 정의: ASIC 이란 단일 사용자에 의해 주문 제작된 특정 응용 시장에 사용되는 모든 IC 제품을 말한다. ASIC 제품은 디지털 ... , 혼합신호, 그리고 아날로그 제품들을 결합하고 있다.주문 제작된 IC를 하나 이상의 사용자가 구매하면 더 이상 ASIC 으로 보지 않고 ASSP 라고 부른다. ASIC 분류는 PLD ... , 게이트 어레이, 셀 기반 IC, full-custom IC 등으로 세분화 된다.2. ASIC design Flow(1) 주문자는 자신의 시스템을 ASIC화 하기 위하여 ASIC
    리포트 | 5페이지 | 1,000원 | 등록일 2003.11.17
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 ASIC설계 과제3 Verilog
    한글파일 보고서와 코드들을 포함하고 있습니다.사용프로그램은 Modelsim입니다. 사용법은 교수님이 알려줍니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2017.06.25 | 수정일 2017.06.26
  • [asic] Asic_4bit adder
    1. 이론full adder 4개를 이용하여 만든 4bit full adder로써 각각의 full adder에서 나온 sum값은 내보내고 carry값은 다음 가산기의 carry in값으로 넣어줍니다. 그래서 마지막 가산기에서 발생한 carry out값이 생깁니다. 그래..
    리포트 | 2페이지 | 1,000원 | 등록일 2004.08.18
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 ASIC설계 과제1 Mycad로 layout하기
    [1] DRC 에러 원인 및 해결 방법처음에 캡쳐 1과 같이 DRC 에러가 3개나 발생했습니다. 일단 위에 것부터 해결하려고 했습니다.Rule 2.5는 NACTIVE와 PACTIVE 사이의 공간에 여유가 없어 발생한 에러였습니다.그래서 NACTIVE와 PACTIVE 사..
    리포트 | 4페이지 | 2,000원 | 등록일 2017.06.25 | 수정일 2017.06.26
  • [ASIC] VHDL, Verilog, SystemVerilog의 비교
    primitives.UDPs.더 나은 ASIC 라이브러리의 지원 가능성YesVerilog와 동일다만, 제공자들은 Verilog sign-off와 SystemVerilog sign
    리포트 | 7페이지 | 1,500원 | 등록일 2008.04.03
  • [asic] Asic_up down counter
    library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;--entity 선언entity up_counter is--clk과 vector를 이용..
    리포트 | 3페이지 | 1,000원 | 등록일 2004.08.18
  • Digital fundamental, computer architecture, Micro processor 등을 아우르는 Asic 설계의 기본
    [ Clock & Reset ][Reset]: 필요한 이유- Back to known stage로 가기 위해 필요 (X propagation의 문제는 reset시 이게 안되서 발생)- Scan vector simulation은 capture후 Flush하기 위한 res..
    리포트 | 12페이지 | 무료 | 등록일 2012.08.15 | 수정일 2017.03.08
  • [asic] asic 이란?(시험자료)
    1.1. ASIC의 종류범용 IC(Standard Integrated Circuit)는 우리가 필요에 따라 쉽게 구 할 수 있는 IC들을 지칭한다. 반면, 주문형 집적회로 ... (Custom IC)는 특별한 사용자를 위해 개발된 IC로서, 개발을 의뢰한 사용자에게만 공급되기 때문에, 특정한 용도의 목적에만 사용 할 수 있는 IC라 하여 ASIC ... 된chnology를 사용해 반도체 웨이퍼 (Wafer)에 구현되는 IC를 일컫는다.이러한 ASIC을 설계할 때 그 영역을 3가지로 분류해 보면 동작적 (Behavioral) 영역
    리포트 | 9페이지 | 1,500원 | 등록일 2003.03.28
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 ASIC설계 과제2 파형확인과 MyLVS 활용
    (3) C 값의 변화에 따라 출력 파형이 다르게 나오는 이유를 간단하게 설명. C값이 증가하면 RC time constant가 증가해서 시간지연이 늘어나게 된다. 따라서 그래프가 아래로 갈수록 충전과 방전에 걸리는 시간이 길어지게 되는 걸 볼 수 있다.[2] Part ..
    리포트 | 4페이지 | 2,000원 | 등록일 2017.06.25 | 수정일 2017.06.26
  • [asic실험] asic을 이용한 시스템 설계
    project-주차제어기조원전파통신공학과 9842398이주엽.컴퓨터공학과 9840936김현수.컴퓨터공학과 9850079윤철훈.1.주차제어기의 기능주차장의 현재상황과 주차비,그리고 진입제어기 및 출구와 입구에 있는 차량 출입 센서들을 제어하여 신호등의 빨간불과파란불로 결..
    리포트 | 7페이지 | 1,000원 | 등록일 2003.12.22
  • ASIC verilog divider(디바이더) 구현
    [ASIC 설계] 1. [Divider] 25MHZ ---> 1HZ--------------------------------------------------------------
    리포트 | 6페이지 | 1,000원 | 등록일 2007.03.27
  • ASIC
    ROM을 이용하여 논리 회로의 기능을 수행할 때에는 don't care 상태도 ROM의 한 워드가 되므로 낭비가 심하게 된다. ROM의 크기는 입력선의 크기에 따라 결정되므로 입력선은 많고 실제로 사용하는 주소가 적을 때는 많은 기억 공간이 낭비되므로 이러한 단점을 제..
    리포트 | 4페이지 | 무료 | 등록일 1999.10.18
  • 유니스터디 이벤트
AI 챗봇
2024년 12월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:02 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감