• 통큰쿠폰이벤트-통합
  • 통합검색(681)
  • 리포트(635)
  • 방송통신대(22)
  • 시험자료(18)
  • 논문(4)
  • 서식(1)
  • 이력서(1)

"레지스터" 검색결과 321-340 / 681건

  • [마이크로프로세서실험] Text LCD 예비보고서
    REPORT보고서 작성 서약서1. 나는 타학생의 보고서를 복사(Copy)하지 않았습니다.2. 나는 타학생의 보고서를 인터넷에서 다운로드하여 대체하지 않았습니다.3. 나는 타인에게 보고서 제출 전에 보고서를 보여주지 않았습니다.4. 보고서 제출 기한을 준수하였습니다.나는..
    리포트 | 11페이지 | 1,500원 | 등록일 2012.05.30
  • 부경대 기계자동차공학과 마이크로프로세서 응용 및 실험 실험과제 2
    1. 설계과제의 목표 및 제한조건모든 스위치 입력 처리는 인터럽트만 사용입력 : push switch ?2개출력 : LED - 8개, 7-segment - 1개1) LED 점등① 1번 스위치 입력(Rising Edge)이 1번 있을 때 점든된 2개의 LED가 중앙에서 ..
    리포트 | 12페이지 | 2,000원 | 등록일 2012.12.24
  • 주차장요금기
    At128mega 를 이용한 주차장 요금기주차장 요금기 AVR 회로 식 (C 언어 )7- 세그먼트 자릿수 적용 Include avr / io.h 로 시작을 해서 avr 로 이용한다는 것을 인식시키고 난 후 맨 밑에 디지털 숫자를 4 자리 수를 쓴다는 식을 적어서 적용시..
    리포트 | 7페이지 | 2,000원 | 등록일 2014.06.17
  • Flip-flop and Counter Design
    Pre - ReportFlip-flop and Counter DesignDepartmentYearStudent IDClassTeamName전기전자공학과22007142123thu1-5홍범주전기전자공학과22007142082thu1-5홍성현① Use verilog HDL c..
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.27
  • [교육심리학] 학습의 과정과 기억(정보처리이론) - 정보처리이론의 개념, 정보처리이론의 물리적 구성 요소, 정보처리이론의 정보처리 과정
    학습의 과정과 기억목차1. 정보처리이론의 개념2. 정보처리이론의 물리적 구성 요소1) 감각등록기 (sensory register)2) 작동기억(working memory)3) 장기기억(long-term memory)3. 정보처리이론의 정보처리 과정1) 주의집중(atte..
    리포트 | 6페이지 | 2,000원 | 등록일 2015.03.17
  • baud rate
    Baud Rate 1bps ( 데이터 전송 속도 ) 초당 전송되는 비트 수 ex ) 1kbps baud rate ( 변조속도 ) 초당 전송되는 단위 신호의 수 ex ) 1kbaud 통신속도의 종류 (1) 2계산법 baud = 1/T(T: 신호 요소의 시간 ) bps =..
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.04
  • [Flowrian] Arithmetic Logic Unit 회로의 Verilog 설계 및 시뮬레이션 검증
    ALU 회로는 연산 모듈, 멀티플렉서, 레지스터 등으로 구성되는데 레지스터만 별도의 모듈로 설계하고 나머지 모듈은 always 문장으로 설계한다.reg8b : 8 비트 레지스터alu8b : ALU 연산 회로Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 ..
    리포트 | 14페이지 | 2,000원 | 등록일 2011.12.26
  • 컴퓨터 cpu 구조와 설계
    CPU의 구조와 설계▣ 이론▶ 명령어 코드○ 디지탈 시스템의 내부조직의 정의- 레지스터안에 저장된 데이타를 가지고 수행되는 마이크로연산의 시켄스에 의해 정의된다- 특수 목적 디지탈 시스템에서는 마이크로연산의 시켄스는 하드웨어에 의해 고정되어 있다○ 디지탈 컴퓨터의 수행..
    리포트 | 30페이지 | 3,500원 | 등록일 2009.11.22
  • OR_네비게이션_System에_따른_장비_사용_방법
    [ 네비게이션 System에 따른 장비 사용 방법 ][1] Optical Equipmentindication1. 환자 마취 후, pinning 실시2. mayfield에 Dual Starburst 장착 한다.반드시 Dual Starburst를 장착해야 Bed 조절 시,..
    리포트 | 12페이지 | 2,500원 | 등록일 2011.09.28
  • pspice 기초와 활용 레포트
    PSpice기초와활용RERORT15-5 OP-AMP의 출력단락 전류부하저항 RL을 0에서 1K까지 가변하면서 출력전류를 측정하는 회로.OP-AMP자체가 가지는 속성에 관한 사항으로 OP-AMP 종류별 다른 값을 가짐.15-6-1OP-AMP 회로의 해석법2배 증폭반전 증..
    리포트 | 17페이지 | 1,000원 | 등록일 2013.08.07
  • 16bit CPU 설계
    기본컴퓨터의 HW 설계학 과 : 전자공학과학 번 : 20041109이 름 : 이명수제출일 : 2009. 5. 9§ 명령어 사이클● 연산(명령어)에서 각 state를 실행하는 단계- fetch : 명령어를 메모리에서 가져온다.- decoding : 명령어를 해석한다.- ..
    리포트 | 23페이지 | 4,000원 | 등록일 2009.07.24
  • Linux kernel source (PXA 270)
    제 목: Linux kernel Source Code 분석1. main.c#include #include #include .global setup_memory#define SDRAM_BASE0xA0000000 /*SDRAM memory code 시작 주소*/#defin..
    리포트 | 18페이지 | 3,000원 | 등록일 2009.11.16
  • IT와 경영정보시스템 과제물
    20 학년도 (2)학기 과제물(온라인제출용)교과목명 : IT와 경영정보시스템학 번 :성 명 :_________________________________________________________________________o 과제유형 : ( 공통 ) 형o 과 제 명 ..
    리포트 | 5페이지 | 5,000원 | 등록일 2014.05.20 | 수정일 2016.07.27
  • 시장조사 베트남 하노이 커피 시장 조사
    베트남 하노이 커피 시장 조사 (2003년) TOC \o "1-3" \h \z \u Hyperlink \l "_Toc357615584" 1.The Development of Vietnamese Cofee Industry PAGEREF _Toc357615584 \h 4 ..
    리포트 | 21페이지 | 2,000원 | 등록일 2014.12.22 | 수정일 2016.12.06
  • AVR 128을 통한 외부 인터럽트와 타이머 동작
    AVR 128을 통한 외부 인터럽트와 타이머 동작.1. 실습 프로그래밍(1) 타이머를 이용한 LED 점멸 테스트#include "Global.h"#include "avrlibdefs.h"#include "api.h"#include #include #include #in..
    리포트 | 7페이지 | 1,500원 | 등록일 2008.11.25
  • 간호학개론-세계의간호사시험
    여러나라에서간호사가 되기 위해서는 어떻게 해야할까?- 우리나라를 비롯한 해외에서 간호사가 되기 위해 필요한 시험- 우리나라에서 간호사를 하기 위해서는 ‘간호사 국가시험’을 치러야 한다.# 간호사 국가시험이란?- 간호사 국가시험은 간호교육을 마친 졸업생이 신규 간호사로 ..
    리포트 | 10페이지 | 1,000원 | 등록일 2011.11.03
  • Crossing Cultures in the Language Classroom chapter 6. pragmatics and communication 요약
    ⦿ The Points of this chapter⦁How language is used in social context ⦁The relationship between culture and communication.⦁The misunderstandings which c..
    리포트 | 4페이지 | 1,500원 | 등록일 2010.08.13
  • flip-flop and counter design(예비)
    Pre - Report1. ObjectiveTo understand the operating principle of various kinds of flip-flops, and design counters based on that knowledge.2. Theory(1)..
    리포트 | 16페이지 | 1,000원 | 등록일 2011.07.09
  • verilog, 베릴로그, 베릴로그로 짠 다중 사이클, 멀티 사이클
    Microprocessor-#4MultiCycle-DataPath1. Composition of Modules①전체 모듈 구성Multi Cycle data path의 모든 logic box를 모듈화 하여 Top module인 DataPath.v에 모듈 선언으로 넣어 주..
    리포트 | 10페이지 | 1,000원 | 등록일 2011.10.13
  • 사회방언의 개념과 예시
    1. 즐겨 쓰는 사회 방언 10가지1. 졸라 ; 매우/ 많이; 특정 집단이기 보다 일반적으로 10~2-대까지의 젊은 연령층이 폭 넓게 사용한다. 처음에는 ‘-나’욕설과 같은 의미로 비속어로 쓰이다가 소리가 순화된 뒤 많이 혹은 매우의 뜻으로 부정적인 의미 없이 쓰인다...
    리포트 | 2페이지 | 1,000원 | 등록일 2010.07.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 08일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대