• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(30)
  • 리포트(27)
  • 자기소개서(1)
  • 시험자료(1)
  • 방송통신대(1)

"논리회로설계논" 검색결과 1-20 / 30건

  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제] 실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고 ... 이는 단순히 2단 AND-OR게이트 회로로 나타낸 형태인 보다 2단계가 높아졌음을 알 수 있다. 4bit full adder의 설계와 구현 4bit full adder는 미리 설계해둔 ... 위 식을 회로로 그리면 는 4단계 AND-OR-AND-OR 게이트 회로로 나타내어진다.
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 파일확장자 verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)
    "verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)"에 대한 내용입니다.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.10.12 | 수정일 2023.11.28
  • 워드파일 multiplexer(멀티플렉서) VHDL 실습보고서
    배경이론(Background) 1)Multiplexer 여러 개의 입력신호중 하나를 선택하여 출력하는 논리회로로, 세가지 값으로 구성된다, 입력값과, 입력값을 선택해주는 값, 그리고 ... 그리고 이를 회로도로 구현하면, 아래와 같은 회로도가 만들어진다. ... 1.목적(Purpose) 이번 실습은 8-1 Multiplexer를 구현하는 실습으로 8개의 입력값중 1개의 출력값을 선택하여 만들어내는 Multiplexer를 설계하는 실습이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 한글파일 논리회로설계실험_비교기,MUX,ALU 결과레포트
    논리회로설계 실험 결과보고서 #3 실험 3. 비교기_MUX_ALU 1. 실험 목표 비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... 실험 결과 - 실습 1 1bit, n bit 비교기 설계 동작적 모델링 자료 흐름 모델링 진리표에 따라 작성해보자. ... 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험_디코더/엔코더 레포트
    논리회로설계 실험 결과보고서 #4 실험 4. 디코더, 엔코더 1. ... 이를 통해 올바르게 코딩했음을 알 수 있다. - 실험 4. 8X3 엔코더를 설계하시오. 1) 진리표 엔코더는 디코더의 반대 기능을 수행한다. ... 실험 결과 - 실험 1.2x4 디코더를 설계하시오. 1) 진리표 Input Output A _{1}A _{0}Y _{3}Y _{2}Y _{1}Y _{0} 0 0 0 0 0 1 0 1
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 라인트레이서 레포트
    논리회로설계 실험 설계프로젝트 보고서 주제 : 라인트레이서 설계 1. ... 설계 배경 및 목표 1) 설계 배경 지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로와 순차회로설계하였다. ... 순차회로에서 설계한 분주기 설정, finite state machine 설계 등이 linetracer를 설계하는데 많이 사용될 수 있었다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험_반가산기/전가산기 결과레포트
    논리회로설계 실험 결과보고서 #2 실험 2. 조합회로 설계 1. 실험 목표 반가산기와 전가산기에 대해서 이해하고, 반가산기와 전가산기를 세 가지 모델링 방법으로 설계한다. ... 또한, Schematic Design으로 반가산기과 전가산기의 논리회로를 그려보고 시뮬레이션을 통해 논리회로가 제대로 그려졌는지 확인해본다. ... 회로설계할 때 구조적 모델링이 유용하게 쓰일 것이라고 생각된다.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4 실험 4. 디코더& 엔코더 설계 1. ... 이진수 디코더는 부호화된 2진수 정수를 부호화되기 전으로 되돌리는 조합논리회로이다. n TIMES 2 ^{n} 디코더는 2진수로 된 n개의 입력 신호를 최대 2 ^{n}가지 출력 신호로 ... 형태로 되돌리는 것도 디코더이다. ’ 4to 10 decoder 디코더와는 반대로 신호를 코드화하는 기기를 엔코더라고 한다. (2) 엔코더 엔코더는 디코더의 반대 기능을 수행하는 조합논리회로
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서 주제 : #2 STOPWATCH 설계 1. ... 이는 순서논리회로, 프로토콜, 컴퓨터, 컴파일러 등의 동작을 표현, 이해, 설명하고 설계하기 위한 체계적이고 수학적인 방법의 틀을 제공한다. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다. 2) 설계 목표 VHDL을 이용하여 스탑워치를 만든다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 Full adder VHDL 실습보고서(전가산기)
    과 목 : 논리회로설계실험 과 제 명 : 4bit FullAdder & subtractor 학 과 : 전자전기공학부 과 목 : 논리회로설계실험 과 제 명 : 4bit FullAdder ... 이론으로만 알고 있었던, 논리회로들을 직접 코딩을 통해 실제 값을 산출하여, 나오는 파동(wave)을 관찰하고, 값들이 잘 나오는지, 오류는 없는지를 확인한다. ... 배경이론(Background) 1)Full adder (전가산기) 1비트의 2진수를 3개 더하는 논리회로이며, 2개의 값을 직접 입력 받고, 나머지 한 개는 Carry in/out의
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    논리회로설계 실험 설계과제 보고서 주제 : #1 BCD 가산기 설계 1. 설계 배경 및 목표 1) 설계 배경 컴퓨터는 2진법을 이용하여 계산을 한다. ... 입력 신호인 BCD와 출력 신호인 7 segment에 대해서 진리표로 나타낸 후, 카르노맵을 사용하여 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 ... 2진수에서 10진수로의 변환이 간단하고 이를 7 segment에 연결시키면 쉽게 10진수를 출력할 수 있다는 장점 때문에 주로 10진법으로 수를 표기하는 것이 필요한 프로세서나 회로에서
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 조합논리회로와 순서논리회로의 종류 및 특징(회로) 조사
    Y)' -순서 논리회로의 특징 조합논리회로는 임의의 시점에서 이전 입력값에 관계없이 현재 입력값에 따라 출력이 결정되는 논 리회로다. ... 결과적으로 비동기 순서논리회로의 정확한 동적은 입력의 타이밍에 의존하기 때문에 마지막 입력 변화에서 회로가 안정되도록 설계해야 한다. ... 전공 취득점수 / 교 · 강사확인 1.조합논리회로 특징 논리회로는 크게 조합 논리회로, 순서 논리회로로 구분할 수 있는데 그 중에서 조합 논리 회로를 먼저 보자 조합논리회로논리
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.20
  • 워드파일 서울시립대학교 전자전기컴퓨터공학과 학업계획서
    IoT 통신의 원리를 이해하기 위해, H/W 회로에 대한 학습을 했고 회로이론, 논리회로, 전자회로 전공도서를 구매해 회로의 기본 지식을 학습했습니다. ... 향후 학습 목표 및 진로계획, 기타 특이사항 H/W에 기반한 시스템 설계를 위해 제어, 마이크로프로세서, 자료구조, 시스템, 전자회로, 네트워크 분야에 대해 공부할 것입니다. ... 서울시립대학교에 편입해 반도체와 회로설계, 제어 신호처리 등 다양한 기술들을 학습하고, 코딩 스터디에 참여하며 c언어, JAVA 프로그래밍, 아두이노 프로그래밍을 진행하며 하드웨어와
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.04.12 | 수정일 2023.05.10
  • 한글파일 (유비쿼터스컴퓨팅개론) 우리의 미래생활에서는 다양한 4차산업 관련 기술이 보편적으로 사용될 것으로 예상되고 있습니다. 유비쿼터스 컴퓨팅 수업시간에 배웠던 유비쿼터스 기술들뿐만 아니라 4차산업 기술(핀테크, 블록체인, 가상화폐, 자율주행 자동차 등)을 활용하여 제공될 수 있는 미래생활 서비스를 기술하십시오. 그리고 그 유비쿼터스 기술과 4차산업 기술(핀테크,
    MEMS는 주변 환경을 인식하여 데이터를 수집하고 처리하며 이를 토대로 적절한 동작을 취할 수 있도록 뇌와 신경에 해당하는 논리회로와 탐지 기능을 담당하는 각종 센서, 팔과 다리 역할을 ... 집적화한 센서를 제작하기 위해 개발하기 시작하였다. 1980년대 후반에는 마이크로 집게, 모터, 기어 등 기판에서 분리한 미세구조물을 제작하였으며, 1990년대에 이르러서 센서 및 논리회로 ... 문자열 데이터로 변환하는 해시함수를 이용해 문자열을 임의의 난수로 암호화하여 원본을 대조하고 데이터 보안용으로 주로 이용하는 해시 그리고 거래 정보 끝에 추가되는 일회용 숫자인 논스
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.05.14 | 수정일 2022.05.16
  • 한글파일 화공생명공학과 지원 맞춤형 세부 능력과 특기사항 기재 예시
    Henderson - Hasselbalch식을 논리적으로 활용하고 완충 효과에 대해 눈높이 보충 설명을 참신하게 발표함. ... 힘의 평형을 활용한 건물의 안정성에 호기심을 가지고 내진설계 장치를 탐구함. 특히 TMD 구조의 원리를 본인이 제작한 그림을 활용하여 직접 설명함. ... 인간 복제 및 바이오 테러 등 관련된 논물을 읽으며 과학자에게 요구되는 윤리적 판단에 대한 고민을 잘 정리하였으며 동물을 통한 제약 관련 임상실험을 대체하는 방법에 대한 주제로 탐구
    리포트 | 5페이지 | 5,000원 | 등록일 2024.02.01
  • 한글파일 TV프로그램만들기 중간기말내용총정리(A+)
    휘도가 높은 피사체에 의한 번짐 현상, 잔상현상을 개선함 - 1CCD(단판식)에서 1990년대 후반 3CCD(3 편집, 연기, 특수효과, 그래픽, 세팅, 비디오와 오디오의 질, 제작설계 ... 진공관을 발명후 4극 5극등 진공관 발전 - 1960년 진공관만을 사용한 장비사용 - 1970년 반도체(트렌지스터)가 진공관대체하여 방송장비가 소형화, 경량화 - 1980년 IC(집적회로 ... 주인공의 대사(정) -> 주인공과 대립하는 환경 측 인물의 대사(반)-> 주인공의 새로운 힘의 대사(합)의 구조 반복. - 원신, 원테마(소목표 달성) * 시나리오 작성 총합 * 논
    시험자료 | 67페이지 | 3,000원 | 등록일 2021.04.26
  • 워드파일 논리회로설계실습-FSM-결과보고서
    논리회로설계 실험 결과보고서 #9 실험 9. ... 실험 결과 스텝 클록(펄스) 발생 회로를 이용한 링 카운터를 설계해 본다. ... 이를 사용하여 스텝 클록 펄스 발생회로를 이용한 링 카운터를 설계해 본다. 작성된 코드를 사용하여 RoV-Lab3000과 연결하여 하드웨어 작동을 해 본다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 한글파일 가산기와 감산기
    Sum과 Carry를 구하는 논리회로이다. ② 반감산기 설계 - 각각 2개의 입력 단자와 출력 단자를 가지는 회로로써, 두 개의 비트를 빼서 Difference와 Borrow를 구하는 ... 전감산기와 반감산기를 조합하여 4비트 이상 의 뺄셈을 할 수 있다. ⑤ 4비트 2진 비교기 설계 - 비교기는 두 2진수 값의 크기를 비교하는 논 리회로로써 8개의 Data input과 ... , High 입력을 넣을 때, 실수를 줄이는 것이 필요하다고 느꼈습니다. - 조합논리회로를 구성해본 실험으로 많은 게이트를 접하고 원하는 신호를 입력하고 출력하기 위해서는 전에 했던
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • 한글파일 논리회로실험 설계 보고서
    1 논리회로실험설계과제· REPORT 전자공학도의 윤리 강령 (IEEE Code of Ethics) `(출처: http://www.ieee.org) 나는 전자공학도로서, 전자공학이 ... 그러나 처음에 설계한 code를 최대한 수정한 결과 18.764ns라는 전달지연을 얻을 수 있었다. ... 설정을 완료한 후에 Start를 누르면 FPGA에 설계한 Multiplier가 입력되어 FPGA board는 이제 Multiplier의 기능을 하는 board로 바뀌는 것이다.
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 한글파일 VHDL STOPWATCH 설계보고서, QUARTUS STOPWATCH 설계보고서
    VHDL 이용한 STOPWATCH 설계 과제 1.설계 목적 -VHDL사용법과 VHDL의 코드구조인 계층구조를 익히고 설계해본다. 2.설계과정 ≪ VHDL 소스코드를 계층 구조로 표현하기 ... 그리고 60초와 60분에서는 10진카운터가 6진카운터로 동작하도록 회로를 조정했다. 3)Multiplexer와 3x8Decoder 동작 -원래 카운터들의 출력은 각각 4개씩 나와서
    리포트 | 16페이지 | 5,000원 | 등록일 2014.02.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:36 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기