• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,990)
  • 리포트(3,622)
  • 시험자료(202)
  • 자기소개서(81)
  • 방송통신대(48)
  • 논문(31)
  • 서식(5)
  • 기업보고서(1)

"버퍼" 검색결과 161-180 / 3,990건

  • 한글파일 2021 1학기 방송통신대학교 컴퓨터의이해 기말과제 A+받음
    기억장치의 계층구조는 상단부터 CPU 레지스터, 캐시메모리, 주기억장치, 보조기억장치(버퍼캐시+자기테이프/자기디스크/광디스크)로 이루어져 있다. ... 가상 메모리 페이징 입출력 요청은 응용 프로그램 요청 보다 더 높은 우선순위이다. - 버퍼링 : 프로세서와 입출력 장치의 속도 차이 때문에 생길 수 있는 유휴 시간이 없도록 버퍼를 ... 다른 작업을 동시에 하는 방법이다. - 캐싱 : 자주 사용되는 자료의 복사본을 저장하는 빠른 메모리 영역을 둠으로써 복사 데이터를 유지한다. - 스풀링 : 속도가 빠른 디스크를 버퍼처럼
    방송통신대 | 9페이지 | 4,000원 | 등록일 2021.10.02 | 수정일 2022.03.15
  • 한글파일 2021 1학기 방송통신대학교 컴퓨터의이해 중간과제 A+받음
    기억장치의 계층구조는 상단부터 CPU 레지스터, 캐시메모리, 주기억장치, 보조기억장치(버퍼캐시+자기테이프/자기디스크/광디스크)로 이루어져 있다. ... 가상 메모리 페이징 입출력 요청은 응용 프로그램 요청 보다 더 높은 우선순위이다. - 버퍼링 : 프로세서와 입출력 장치의 속도 차이 때문에 생길 수 있는 유휴 시간이 없도록 버퍼를 ... 다른 작업을 동시에 하는 방법이다. - 캐싱 : 자주 사용되는 자료의 복사본을 저장하는 빠른 메모리 영역을 둠으로써 복사 데이터를 유지한다. - 스풀링 : 속도가 빠른 디스크를 버퍼처럼
    방송통신대 | 9페이지 | 3,000원 | 등록일 2021.10.02 | 수정일 2022.03.15
  • 워드파일 연산증폭기 기초회로 결과레포트
    단일 이득 버퍼에서 구형파 파형을 확대하여 슬루율을 구해보고 datasheet 와 비교하여 비슷한 값이 나온다는 것을 확인했다. ... 입력신호 진폭 1.1V 출력신호 진폭 6.2V 출력 DC 레벨(V) 1.2mV 전압이득(측정값, 이론값) 5.63, 6 위상차 (degree) -1 ■ 단일이득버퍼(1V, 1kHz) ... 입력신호 진폭 1.13V 출력신호 진폭 1.08V 출력 DC 레벨(V) 0.7V 전압이득(측정값, 이론값) 0.96 위상차 (degree) -1.2 단일이득버퍼의 전압이득이 이론
    리포트 | 7페이지 | 1,500원 | 등록일 2021.12.18
  • 파워포인트파일 보충시스템의 운영
    버퍼관리의 기초 3. 버퍼관리의 예제 4. 동적버퍼관리 5. 동적버퍼관리의 실행 6. 동적버퍼관리 실무 프로세스 7. 공급리드타임이 긴 경우의 버퍼관리 8. ... 버퍼관리의 기초 버퍼관리 버퍼상태와 버퍼 색상은 재고 보충의 긴급성을 나타낸다 . ... 버퍼관리의 기초 2. 버퍼관리의 기초 버퍼관리는 목표재고의 조정 프로세스라고 말한다 .
    리포트 | 26페이지 | 2,000원 | 등록일 2018.11.02
  • 한글파일 입문자를 위한 임베디드 시스템 7장 퀴즈
    갖는 메모리 버퍼 풀들을 할당하는 것이라고 제안했었다. malloc 이나 free 함수 사용에 비교해서 이 설계는 어떠한 단점을 가질 수 있는가? ... 고정된 메모리 버퍼들로 이루어진 풀을 사용하면, 좀 더 빠른 처리 속도를 기대 할 수 있지만 메모리 공간이 낭비되거나, 할당된 공간이 부족한 경우들이 생길 수 있다. 6. ... 제한시간 함수를 사용하면 [그림 7.21]보다는 타이밍을 좀더 유동적으로 조절할 수 있다. 5. 7.4절에서 메모리 관리를 위해서 합리적인 설계는 세 개 또는 네 개의 다른 버퍼 크기를
    리포트 | 2페이지 | 2,000원 | 등록일 2021.06.24
  • 파일확장자 운영체제 mmap 시스템콜 과제
    이때문에 프로세스에서 파일을 읽을 때 파일 디스크립터, 파일 구조체 포인터를 이용하여 접근하는데, 이 과정은 버퍼를 거치기 때문에 시간도 오래걸리고 복잡하다.
    리포트 | 4페이지 | 2,500원 | 등록일 2024.03.15
  • 파워포인트파일 QPLC<->QPLC Ethenet 통신 제어
    선두 번지 0000 (PLC Ethernet Card 위치) 네트워크 : 1 국번 : 1 (송신부) 송신측 IP 설정 ..PAGE:3 송신부 설정1-2 프로토콜: UDP 선택 고정버퍼 ... 교신대상 포트번호 설정 ..PAGE:4 수신부 설정1-1 선두 번지 0020 (PLC Ethernet Card 위치) 네트워크 : 1 국번 : 2 (수신부) 프로토콜: UDP 선택 고정버퍼
    리포트 | 11페이지 | 1,000원 | 등록일 2020.03.04
  • 워드파일 쉽게 배우는 운영체제 7장, 8장, 9장, 10장, 11장, 12장, 13장, 14장 요약본
    단일 버퍼와 이중 버퍼를 비교하여 설명하시오 단일 버퍼는 데이터를 담는 작업과 빼가는 작업을 동시에 할 수 없지만 이중 버퍼는 데이터를 담는 전용의 버퍼와 데이터를 빼가는 전용의 버퍼 ... 버퍼 22.1. 버퍼는 주변장치 뿐만 아니라 커널에서도 사용한다. 버퍼는 내용이 꽉 찼을 때 입출력장치로 데이터를 전송하도록 설계됐다. 23. ... 버퍼가 다 차지 않아도 강제로 버퍼의 내용이 저장장치로 옮겨지는 것을 무엇이라고 하는가? 플러시(Flush) 25. 버퍼의 내용을 강제로 저장장치로 옮기는 명령어는 무엇인가?
    시험자료 | 46페이지 | 1,500원 | 등록일 2021.11.30
  • 한글파일 ATM 원리와 특성 그리고 프로토콜 구조에 대하여 기술하시기 바랍니다.
    ATM스위치는 버퍼의 위치에 따라, 제어회로의 동작주파수에 의거하여 처리능력이 제한되는 입력 버퍼형 스위치, 스위치 규모의 비례하여 고속 버스의 고속화가 필요한 출력 버퍼형 스위치, ... 확장성은 좋으나 하드웨어 양이 증가하는 결점을 가진 크로스포인트 버퍼형 스위치, 트래픽 성능이 우수한 공통 버퍼형 스위치으로 네 종류로 구성되어 있다. 3)ATM 트래픽제어 ATM
    리포트 | 7페이지 | 2,000원 | 등록일 2022.03.18 | 수정일 2022.04.26
  • 워드파일 식품의 pH 측정 및 적정시험을 통한 당량점과 적정산도 구하기
    시료의 예상 pH가 산성이면, 버퍼 7.00과 버퍼 4.01을 사용하고 시료의 예상 pH가 염기성이면, 버퍼 7.00과 버퍼 10.01을 사용한다. (2) 적정 산도 적정 산도란 식품 ... 사과주스 (사과산) 식초 (아세트산), 우유 (젖산), 오렌지주스 (구연산), pH meter, 표준버퍼용액, 비이커 실험 2 사과주스 (사과산) 식초 (아세트산), 우유 (젖산),
    리포트 | 8페이지 | 2,000원 | 등록일 2022.02.16
  • 한글파일 충북대 디지털시스템설계 결과보고서4
    시뮬레이션 결과 의도한 대로 제대로 나올 수 있었다. datapath에 사용되는 mux, register, adder, 3-상태 버퍼를 따로 구현하여 코드를 작성하다보니 조금 시간이 ... dp_i와 더하고(sum+i), 1이면 -1을 선택하여 dp_i에 -1을 더한다(i-1). nand게이트를 통하여 i가 0이면 출력 i0가 0이 되고 0이 아니면 1이 된다. 3-상태 버퍼를 ... 001로 돌아가는 것을 계속 반복하고 마지막 state 011에서는 i가 계속 감소하여 0이 됐기 때문에 i0가 0이 되어 state 100으로 넘어가 datapath에서 3-상태 버퍼
    리포트 | 4페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 파워포인트파일 QPLC<->FX5U PLC ETHERNET 통신 제어
    1 선두 번지 : 0000 (PLC Ethernet Card 위치 ) 네트워크 : 1 국번 : 1 ( 송신부 ) 송신측 IP 설정 송신부 설정 1-2 프로토콜 : UDP 선택 고정버퍼 ... 설정 자국 포트번호 1 2 3 4 설정 저장 수신부 설정 1-3 (FX5U) 설정 완료 표시 녹색 표시 설정 반영 송신 Program 1 송신 Program 1-2 Ethernet 버퍼메모리
    리포트 | 13페이지 | 1,000원 | 등록일 2020.03.04
  • 워드파일 데이터 통신 및 컴퓨터 통신 10판 / 성진미디어 / 20장 복습문제
    가용 버퍼공간이 없을 경우, 입력 패킷을 단순 폐기한다. 2. ... 복습문제 20.1 노드의 패킷 처리속도, 출력버퍼의 패킷 출발속도보다 패킷의 도착속도가 더 빠르다면 최종적으로 가용 메모리가 고갈되어, 메모리가 포화상태에 도달하게 된다. ... 이러한 신용 기반의 기법은 일반적으로 목적지 시스템이 자신의 버퍼 범람을 막기위해 신용을 사용하며, 종단간 흐름제어를 수행하거나 혼잡제어의 방법으로 쓰인다. • 전송율 기반(Rate
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.15
  • 한글파일 A+[일반생물학및실험] Agarose gel 만들기 및 DNA electrophoresis 레포트
    버퍼 상에 DNA를 로딩하면 가벼워서 DNA가 버퍼에 떠버린다. Loading dye는 이런 문제점을 해결하기 위해 ficoll과 같은 고분자 물질을 사용한다. ... 다른 버퍼에는 어떤 종류가 있을지 의문이 생겼다. 버퍼에는 크게 TAE buffer와 TBE buffer가 있다. TBE는 트리스 염기, 붕산 및 EDTA를 함유하는 혼합물이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.03.24
  • 한글파일 동의대 ㄱㅇㅈ교수님 컴퓨터에서 숫자를 표현할 때 보수 체계를 많이 사용하는데, 보수의 개념을 설명하고, 보수체계를 사용하는 이유에 대해 설명하시오. 중앙처리장치(CPU)의 내부 구조와 동작에 대해 설명하시오
    저장 IX (인덱스 레지스터) : 인덱스 주소 지정 방식에서 인덱스를 저장 IR (명령어 레지스터) : 명령어를 호출해서 해독하기 위해 현재 명령어를 임시로 저장 MBR (메모리 버퍼 ... 레지스터(MBR)에 저장한다. 4) 다음 명령어를 인출하기 위해 프로그램 카운터 (PC)의 값을 증가 시킨다. 5) 메모리 버퍼 레지스터(MBR)에 저장된 내용을 명령어 레지스터( ... 메모리 주소 레지스터(MAR)로 전달 한다. 2) 메모리 주소 레지스터(MAR)에 저장된 내용을 토대로 주기억장치의 해당 주소에서 명령어를 인출한다. 3) 인출한 명령어를 메모리 버퍼
    리포트 | 7페이지 | 1,000원 | 등록일 2023.07.11
  • 한글파일 전략물자관리원 합격자소서
    그리고 모든 정보의 무결성 절차를 거쳐 택배함 문을 제어할 때는 WIFI 쉴드가 부착된 아두이노와 통신하기 위해서 스마트폰에서 소켓을 열어 아두이노와 연결된 WIFI 쉴드 쪽으로 버퍼
    자기소개서 | 1페이지 | 10,000원 | 등록일 2022.06.11
  • 파일확장자 전자공학실험 7장 이미터 팔로워 A+ 결과보고서
    .: 이미터 팔로워는 출력 임피던스≈1/gm으로 작은 값이기 때문에 작은 부하 저항을 구동하는데 유리하고, 전압 버퍼로 많이 사용된다
    리포트 | 12페이지 | 1,500원 | 등록일 2024.05.13
  • 한글파일 DNA전기영동의 원리와 방법 레포트(A+), 일반생물학실험
    따라서 TAE버퍼는 DNA분자량이 클 때 주로 사용되고 TBE버퍼는 DNA분자량이 작은 경우에 쓰이게 된다. 이 실험같은 경우는 TBE buffer를 사용한다. ... 만약 전기영동 실험에서 버퍼용액 대신에 챔버용액이나 또는 겔 용액에 증류수로 대체를 한다면 전기영동이 이루어지지 않을 것이라고 짐작할 수 있다. ... DNA는 구조상 인산기를 가지고 있어서 전기영동에 사용되는 버퍼 안에서 음전하를 띠고 있고 양전하와 음전하의 전극 사이에 위치했을 때 (+)극으로 움직인다는 기본적인 원리를 가진다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.06.19 | 수정일 2022.07.12
  • 한글파일 한양대학교 인공지능대학원 인공지능학과 학업계획서
    제약 조건이 있는 베이지안 변수 선택 연구, 심층 메트릭 학습을 위한 이기종 이중 헤드 앙상블 연구, 작업 절약을 넘어서는 비선점형 실시간 다중 프로세서 스케줄링 연구, 전력 인식 버퍼
    자기소개서 | 1페이지 | 3,800원 | 등록일 2024.05.25
  • 파일확장자 [컴퓨터과학과] 2019년 운영체제 동계계절시험 핵심체크
    프로세스의 상호협력1) 생산자/소비자 문제 유한버퍼 문제라고도 함 2) 판독기/기록기 문제① 데이터 객체는 여러 병행 프로세스 간에 공유될 수 있음 ② 동기화 문제를 판독기/기록기
    방송통신대 | 36페이지 | 6,600원 | 등록일 2019.12.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:23 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기