• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(289)
  • 리포트(254)
  • 방송통신대(14)
  • 시험자료(13)
  • 자기소개서(7)
  • ppt테마(1)

"Z플립" 검색결과 141-160 / 289건

  • 한글파일 전자계산기구조 핵심요점정리(직접작성한내용)
    전감산기 (전가산기+NOT게이트로 구현가능) B=X'Z+YZ+X'Y D=X (+)Y(+)Z ? ... 전가산기 (캐리값고려) => 반가산기2개+OR게이트로 구현가능 => 디코더2개+OR회로로도 구현가능 S=X (+) Y (+) Z C=XY+XZ+YZ =XY+Z(X xor Y) ? ... 순서논리회로:조합논리회로+플립플롭(기억기능) 1) 플립플롭(래치):1비트를 저장할 수 있는 기억소자 예)4bit레지스터 = 플립플롭4개필요 2) 피드백을 가짐 3) 클록 펄스를 가짐
    리포트 | 7페이지 | 1,000원 | 등록일 2016.11.30
  • 한글파일 매트랩을 이용한 Wien bridge oscilator & NE555 구현
    저항과 콘덴서를 하나로 묶어서 Z1과 Z2라고 하면 전달함수는 다음과 같이 구해진다. 이제 Z1과 Z2를 각각 구해서 식에 넣어 전달함수를 구하면 다음과 같이 전개된다. ... 기준 전압은 각 비교기1의 반전 입력단, 비교기2의 비반전 입력단에 연결되어있으며 플립플롭은 JK플립플롭이며 출력Q는 Output으로, Q’은 방전로 트랜지스터에 연결되어 있다. ... 기본 구성은 2개의 비교기 1개의 플립플롭, 1개의 방전로 커패시터로 구성되어있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2017.10.30 | 수정일 2020.07.04
  • 워드파일 실험9-시프트레지스터-예비레포트
    시프트레지스터 내용 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc404097154" 1실험 목적 PAGEREF _Toc404097154 \h 1 Hyperlink ... 시프트 레지스터는 4개의 플립플롭으로 구성되어 있고 클럭 신호가 발생할 때 마다 각 플립플롭이 저장하고 있는 비트 정보를 오른쪽에 있는 플립플롭으로 이동시킨다. ... 출력을 반전시켜 가장 왼쪽의 플립플롭의 입력으로 전달한다. [4비트 존슨 카운터 출력] [링카운터] 링 카운터는 가장 오른쪽에 있는 플립플롭의 출력을 가장 왼쪽의 플립플롭으로 궤환시킨다
    리포트 | 9페이지 | 1,000원 | 등록일 2017.03.07
  • 한글파일 메트랩을 이용한 업다운 카운터 밀리모델
    과제의 필요성 동기식 카운터의 장점은 n개로 구성된 비동기식 카운터보다 전파 지연시간이 짧다 비동기식 카운터는 출력이 다른 플립플롭의 입력으로 각각 들어가기 때문에 플립플롭의 수만큼 ... 과제 수행방법 - 메모리 소자인 플립플롭을 선정하고 이론적인 회로도를 만들어본다 - JK 플립플롭을 이용하여 설계하도록 하였다 - 설계 방법은 Design procedures를 사용하여 ... 그리고 입력이 ‘0’이 될 때에는 왼쪽으로 카운터 하고 출력은 6의 배수 즉 6, 12만이 출력 ‘1’이 발생 Present State NextState Output(Z) X=0 X
    리포트 | 10페이지 | 1,000원 | 등록일 2016.11.25
  • 워드파일 실험8-카운터-예비레포트
    플립플롭 내용 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc403497016" 1실험 목적 PAGEREF _Toc403497016 \h 2 Hyperlink ... 비동기식 카운터 비동기 카운터는 첫 번째 플립플롭의 CP(clock pulse) 입력에만 클록펄스가 입력되고, 다른 플립플롭은 각 플립플롭의 출력을 다음 플립플롭의 CP 입력으로 사용한다 ... 즉, 플립플롭의 출력 전이가 다른 플립플롭을 트리거시키는 원인으로 작용한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2017.03.07
  • 한글파일 컴퓨터시스템구조 연습문제 1장 풀이
    따라서, J와 K입력 사이를 인버터로 연결하면 JK플립플롭이 D플립플롭으로 바뀐다. 1-18. ... J와 K입력 사이를 인버터로 연결함으로써 JK플립플롭이 D플립플롭으로 바뀜을 증명하여라. 풀이) J와 K입력 사이를 인버터로 연결한 논리회로는 아래와 같다. ... 입력값이 0일 경우 : J=0, K=1이므로 JK플립플롭에 따라 출력은 0이 된다. 입력값이 1일 경우 : J=1, K=0이므로 JK플립플롭에 따라 출력은 1이 된다.
    리포트 | 13페이지 | 1,000원 | 등록일 2016.01.07
  • 워드파일 실험7-플립플롭-예비레포트
    플립플롭 내용 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc402827750" 1실험 목적 PAGEREF _Toc402827750 \h 1 Hyperlink ... R-S플립플롭 D 플립플롭 J-K플립플롭 PAGE \* MERGEFORMAT1 ... T플립플롭에 대한 특성표는 아래와 같은데 J-K 플립플롭을 이용하여 T플립플롭을 구성하라.
    리포트 | 13페이지 | 1,000원 | 등록일 2017.03.07
  • 한글파일 논리회로실험 예비보고서9 RAM
    74HC03 Pinout Functional Diagram Truth table INPUT OUTPUT nA nB nY L L Z L H Z H L Z H H L Z= high impedance ... 플립플롭 방식의 메모리 셀을 가진 기억장치인데, 복잡한 재생 클록이 필요 없기 때문에 저용량의 메모리나, 캐시메모리에 주로 사용된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 한글파일 디지털 시스템의 설계
    영 : Z ... 진리표나 상태표에서 논리변수의 수는 최대 6개까지 수작업으로 분석, 설계하는 것이 가능하였으며, 설계된 논리회로도 게이트, 플립플롭을 기본으로 하여 이들을 결합하여 카운터, 레지스터 ... 그러나 게이트, 디코더, 멀티플렉서나 플립플롭은 물론이고 카운터, 레지스터 또는 메모리소자 등을 사용하여 구성된 규모가 큰 논리회로인 디지탈 시스템의 경우 하드웨어 구조와 하드웨어의
    리포트 | 17페이지 | 2,000원 | 등록일 2017.12.30
  • 한글파일 논리회로실험 예비 6
    입력 출력 OC CLOCK D Q L ↑ H H L ↑ L L L L, H, ↓ x Q H x x high-Z ⑤ 74HC76 J-K 플립플롭 2쌍으로 이루어져 있다. ... 래치와 플립플롭의 동작은 비슷하나, 클럭의 유무가 이를 나누는 큰 기준이 된다. 플립플롭은 클럭을 입력 받는 동기 기억소자이다. ... 실험이론 ① Latch(래치)와 Flip-Flop(플립플롭) 래치와 플립플롭은 순차 논리 회로를 구성하는 기본적인 요소이며, 기억소자이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2021.04.08
  • 한글파일 논리회로실험 예비 9
    INPUT OUTPUT A B xY L L Hi-Z L H Hi-Z H L Hi-Z H H L ③ 74HC670 내부에 4X4 매트릭스로 D래치가 구성돼있다. ... SRAM은 플립플롭 방식으로 한 데이터를 한 위치에 쓰고 같은 위치에 다른 데이터를 입력하지 않으면 전원이 공급되는 한 값이 계속 유지된다. ... SRAM을 구현하기 때문에 플립플롭이 사용된다. Selection input은 전체 회로의 Enable을 담당하므로 1이 인가되어야 회로가 동작하게 된다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • 한글파일 디지털 1장 예비보고서
    플립플롭 RS-FF - RS 플립플롭 JK-FF - JK 플립플롭 T-FF - T 플립플롭 D - D 플립플롭 D - 데이터 래치 SENSE - 전류 감지 VCO - 전압조정 주파수 ... 출력 W, X, Y, Z에 해당하는 논리 게이트를 찾아라. 여기서 사용 가능한 소자는 IC칩 7400, 7402, 7404, 7408, 7432, 7486이다. 2. ... High 에서 전류보다, Low 에서의 출력전류가 크다. 0~30번대 논리 게이트류(AND, OR, NOR, NAND, XOR 등) 41~48번대 디코더(decoder)류 70번대 플립플롭
    리포트 | 11페이지 | 1,000원 | 등록일 2016.10.07
  • 한글파일 텀프로젝트 / 디지털회로 및 실험 /각종 게이트를 활용한 LED 잠금장치
    INPUTS OUTPUTS E1 E2 D LS540 LS541 L L H L H H X X Z Z X H X Z Z L L L H L (4) 74LS86 XOR Gate 두 입력이 ... [그림 3] 회로에는 해당 센서가 8개 존재하며, 이 센서를 통해 패턴 입력을 받는다. (2) 74LS74 - D Flip-Flops D플립플롭에서의 D는 Delay , Data를
    리포트 | 9페이지 | 3,000원 | 등록일 2016.12.21
  • 한글파일 디지털 디자인 5장
    A,B와 2개의 입력 x,y와 하나의 출력 z를 가진 순차회로가 있다. ... 현재 상태 다음 상태 F/F 입력 상태 입력 상태 출력 A B x y A B z 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 1 ... 5.9 - 2개의 JK플립플롭 A,B와 한 개의 입력 x를 가진 순차회로가 있다. 이 회로는 다음과 같은 플립플롭 입력식으로 나타내고 있다.
    리포트 | 6페이지 | 3,000원 | 등록일 2008.06.12 | 수정일 2015.09.30
  • 워드파일 Combinational-Logic-Design-Ⅱ-Decoder, Encoder and Mux
    논리 게이트로만 구성되며, 플립플롭 같은 기억소자는 포함되지 않음. ... : 0011 I : 0001 + 4’b0011 = Z : 0100 I : 0010 + 4’b0011 = Z : 0101 I : 0011 + 4’b0011 = Z : 0110 I : ... = Z : 1010 I : 1000 + 4’b0011 = Z : 1011 I : 1001 + 4’b0011 = Z : 1100 Discussion (토론) Check agreement
    리포트 | 19페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 한글파일 [아주대]논회실 결과 실험9. 램 (RAM)
    이때, 7403칩이 Z(high-impedance)가 출력되므로, 풀업저항을 연결하여 안정된 값이 나오게 한다. ... 입력한 데이터는 플립플롭에 저장된다. ... 입력한 데이터는 플립플롭에 저장된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.09.04
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [예비레포트]
    = I0 1 Z= I1 응용 과제 : 2비트 2:1 MUX 입력 값 A, B가 2비트의 값을 갖도록 설정한다. ... Lab 조합 논리 회로 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로 출력이 입력에 의해 결정됨 논리 게이트로만 구성되며, 플립플롭 ... 3개의 입력선과 8개의 출력선을 갖는 디코더 그림 SEQ 그림 \* ARABIC 1 2입력 멀티플렉서 표 SEQ 표 \* ARABIC 2 2입력 멀티플렉서 진리표 S Output 0 Z
    리포트 | 15페이지 | 1,000원 | 등록일 2017.10.19
  • 워드파일 Verilog HDL
    1비트 이상인 경우에는, 에지 천이는 결과의 LSB에서 검출 예 : 상승 에지로 동작하는 D 플립플롭 event or 연산자 다수의 event들은 키워드 or 또는 콤마(,)로 결합 ... 변화, 또는 x, z에서 0으로 변화에서 event 발생 *posedge:0에서 x, z, 1로 변화, 또는 x, z에서 1로 변화에서 event 발생 *event 발생 수식의 결과가 ... 의 값에 의해 net의 값이 연속적으로 유지됨 *값을 저장하지 않음 (단, trireg net는 예외) 구동자가 연결되지 않으면, default 값인 high-impedance (z
    리포트 | 57페이지 | 1,000원 | 등록일 2016.04.06
  • 한글파일 디지털 시스템실험, Verilog 코딩, 16X4 RAM(Random Access memory) 설계, FPGA보드 결과 포함
    실험결과 이번 실험에서는 플립플롭을 이용한 memory, 즉 RAM(Random Access Memory)를 coding하였다. code 안에는 RAM의 두 가지 기능, 쓰기와 읽기를 ... 각각 D_IN0~D_IN3으로 저장되게 하였고 RD가 0이면 CLK에 관계없이 A0~A3이 지정된 주소의 내용(값)이 Q0~Q3으로 출력되고 RD가 1이면 Q는 모두 하이임피던스(z)
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.05
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)7주차결과
    실험의 목적(Purpose of this Lab) Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 순차회로를 이해하고 순차회로 중 플립플롭 회로에 대해 이해한다. ... z=1 s0 → s1 x=0 z=1 s0 → s1 x=0 z=1 s1 → s3 x=0 z=1 s1 → s3 x=0 z=0 → s5 x=0 z=1 s4 → s5 x=1 z=0 s0 ... → s2 x=1 z=0 s0 → s2 x=0 z=0 s2 → s4 x=0 z=0 s2 → s4 x=1 z=1 s2 → s4 x=1 z=1 s2 → s4 x=1 z=0 s4 → s6
    리포트 | 18페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 01일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:13 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기